TWI820350B - 積體電路結構及其製造方法 - Google Patents

積體電路結構及其製造方法 Download PDF

Info

Publication number
TWI820350B
TWI820350B TW109132694A TW109132694A TWI820350B TW I820350 B TWI820350 B TW I820350B TW 109132694 A TW109132694 A TW 109132694A TW 109132694 A TW109132694 A TW 109132694A TW I820350 B TWI820350 B TW I820350B
Authority
TW
Taiwan
Prior art keywords
layer
protruding
integrated circuit
conformal
dielectric
Prior art date
Application number
TW109132694A
Other languages
English (en)
Other versions
TW202119478A (zh
Inventor
林民和
林政頤
陳俊紘
志安 徐
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202119478A publication Critical patent/TW202119478A/zh
Application granted granted Critical
Publication of TWI820350B publication Critical patent/TWI820350B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02323Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen
    • H01L21/02326Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen into a nitride layer, e.g. changing SiN to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32134Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by liquid etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Formation Of Insulating Films (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

一種積體電路結構製造方法,包括形成一突出結構,以及利用原子層沉積(atomic layer deposition,ALD)製程在此突出結構上形成非保形膜。此非保形膜包括直接位於突出結構上的頂部部分,以及位於突出結構的側壁上的側壁部分。此頂部部分具有第一厚度,以及此側壁部分具有小於第一厚度的第二厚度。

Description

積體電路結構及其製造方法
本揭露是關於半導體技術,特別是關於一種鰭式場效電晶體及其製造方法。
電晶體為積體電路中的基礎製成元件。在先前的積體電路發展中,已經形成鰭式場效電晶體(Fin Field-Effect Transistors,FinFETs)來取代平面電晶體(planar transistors)。在鰭式場效電晶體的製造過程中,形成半導體鰭片,且在半導體鰭片上形成虛置閘極。虛置閘極的形成過程可包括沉積虛置層,像是多晶矽層,且接著圖案化虛置層作為虛置閘極。虛置閘極堆疊接著被移除以形成在閘極間隔物之間的溝槽。接著在溝槽中形成置換閘極。
本揭露提供一種積體電路結構形成方法,包括:形成突出結構;以及利用原子層沉積製程在此突出結構上形成一非保形膜,其中此非保形膜包括直接位於此突出結構上的頂部部分,其中此頂部部分具有第一厚度;以及於此突出結構的側壁上的側壁部分,其中此側壁部分具有小於第一厚度的第二厚 度。
本揭露提供一種積體電路結構,包括:一半導體基板;多個隔離區,延伸進入此半導體基板;一半導體鰭片,突出高於隔離區的多個頂面,其中隔離區位於此半導體鰭片的多個相對側;一介電層,位於半導體鰭片的頂面上及多個側壁上;以及一蓋層,包括直接位於半導體鰭片上的第一部分,其中蓋層包括:一頂部部分,於介電層上,其中頂部部分具有第一厚度;以及一側壁部分,於半導體鰭片的頂部部分的側壁上,其中此側壁部分具有小於第一厚度的第二厚度。
本揭露提供一種積體電路結構,包括:一突出結構,其突出高於在突出結構的兩側上的多個部件,其中此突出結構包括一頂面以及多個側壁表面;一介電蓋層,具有直接位於此突出結構上的一頂部部分,其中此介電蓋層的頂部部分具有均勻厚度,以及其中至少此突出結構的側壁表面的多個底部部分的上方並沒有形成介電蓋層;以及一額外的部件,其接觸:此介電蓋層的頂部部分;以及此突出結構的側壁表面的多個下部部分。
10:晶圓
20:基板
22:井區
24:淺溝槽隔離區
24A:頂面
24B:底面
26:半導體條
28:墊氧化物層
30,44:硬遮罩層
36:突出鰭片
38:虛置介電層
38’:虛置閘極介電質
40:非保形蓋層
40A:頂部部分
40B:側壁部分
42,42’:虛置閘極電極
44’:硬遮罩
45:虛置閘極堆疊
46:閘極間隔物
50:凹槽
54:磊晶區
56:空洞
58:接觸蝕刻停止層
60:層間介電質層
62:溝槽
64:置換閘極堆疊
66:界面層
68:高介電常數介電層
70:閘極介電層
72:閘極電極
74:堆疊層
76:金屬填充區
80:自對準硬遮罩
82:閘極接觸插塞
84:源極/汲極接觸插塞
86:源極/汲極矽化物區
90:鰭式場效電晶體
110:基層
112,114,116,118,120:結構
400:製程流程
402,404,406,408,410,412,414,416,418,420,422,424,426,428,430:製程
4B-4B,5B/5C/5D-5B/5C/5D,6B-6B,7B-7B,11B-11B,12B-12B,14B-14B:剖面
T1,T2A(T2),T2B(T2),T2C(T2),T3:厚度
T1-T:頂部部分
T1-B:底部部分
TP1,TP2,TP3,TP4,TP5,TP6:時間點
△TP1,△TP2,△TP3,△TP4,△TP5:時間週期
配合所附圖式來閱讀以下詳細敘述為理解本揭露的各個方面的最佳方式。應注意的是,依據在業界的標準做法,各種特徵並未按照比例繪製。事實上,為了清楚地表示,可能任意地放大或縮小元件的尺寸。
第1圖至第3圖、第4A圖、第4B圖、第5A圖、第5B圖、第5C圖、第5D圖、第6A圖、第6B圖、第7A圖、第7B圖、第8圖至第10圖、第11A圖、第11B圖、第12A圖、第12B圖、第13圖、第14A圖、第14B圖及第15圖根據一些實施例繪示鰭式場效電晶體(FinFET)的形成過程的中間階段之透視圖及剖面圖。
第16圖根據一些實施例繪示非保形蓋層的形成過程中的中間化學結構的示例。
第17圖根據一些實施例繪示非保形蓋層的形成過程中的原子層沉積(ALD)循環的圖表。
第18A圖與第18B圖根據一些實施例繪示非保形蓋層的形成過程中的氧化製程的圖表。
第19圖根據一些實施例繪示形成鰭式場效電晶體的製程流程。
以下揭露提供了許多不同的實施例或範例,用於實施所提供的標的物之不同部件。各部件和其配置的具體範例描述如下,以簡化本揭露之說明。當然,這些僅僅是範例,並非用以限制。舉例而言,敘述中若提及第一部件形成在第二部件之上或上,可能包含第一和第二部件直接接觸的實施例,也可能包含額外的部件形成在第一和第二部件之間,使得它們不直接接觸的實施例。此外,本揭露可能在不同的範例中重複參考數字或字母。如此重複是為了簡明和清楚,而非用以表示所討論的不同實施例及/或配置之間的關係。
再者,其中可能用到與空間相對用詞,例如「在......之下」、「下方」、「較低的」、「上方」、「較高的」等類似用詞,是為了便於描述圖式中一個(些)部件或特徵與另一個(些)部件或特徵之間的關係。空間相對用詞用以包括使用中或操作中的裝置之不同方位,以及圖式中所描述的方位。當裝置被轉向不同方位時(旋轉90度或其他方位),其中所使用的空間相對形容詞也將依轉向後的方位來解釋。
根據各種實施例提供一種非保形蓋層及其形成方法。根據一些實施例繪示形成非表保形蓋層的過程的中間階段,以及在鰭式場效電晶體(FinFET)的形成過程使用非保形蓋層。在此討論一些實施例的各種變化。這些實施例也可應用於其他形成非保形層的實施例,可為鰭式場效電晶體製程中的實施例,或者並非鰭式場效電晶體中的實施例。本文討論的實施例提供示例,使得本揭露的標的可被實現或者利用,且本發明所屬技術領域中具有通常知識者將容易地理解,可在保持於不同實施例的預期範圍內的同時作出潤飾。在以下各個視圖以及實施例中,使用相同的參考數字來標示相同的元件。儘管方法實施例可被論述成以特定順序執行,亦可以任何合理的順序執行其他方法實施例。
第1圖至第3圖、第4A圖、第4B圖、第5A圖、第5B圖、第5C圖、第5D圖、第6A圖、第6B圖、第7A圖、第7B圖、第8-10圖、第11A圖、第11B圖、第12A圖、第12B圖、第13圖、第14A圖、第14B圖及第15圖根據本揭露的一些實施例繪示出鰭式場效電晶體的形成過程的剖面圖及透視圖。對應的製程也示意性地顯示於第19圖中。
在第1圖中,提供基板20。基板20可為半導體基板,例如塊狀半導體基板、絕緣上覆矽(SOI)基板或類似者,且可為摻雜(例如以p型或n型摻質摻雜)或非摻雜。半導體基板20可為晶圓10的一部分,像是矽晶圓。一般來說,SOI基板為形成於一絕緣層上的一半導體材料層。絕緣層可為埋置氧化物(buried oxide,BOX)層、氧化矽層或類似者。於一基板上提供絕緣層,通常為矽或玻璃基板。也可使用其他基板,像是多層基板或梯度基板(gradient substrate)。在一些實施例中,半導體基板20的半導體材料可包括矽;鍺;包括碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦及/或銻化銦的化合物半導體;包括SiGe、GaAsP、 AlInAs、AlGaAs、GaInAs、GaInP及/或GaInAsP的合金半導體;或上述的組合。
再參照第1圖,井區22形成於基板20中。對應的製程在第19圖中的製程流程400以製程402顯示。根據本揭露的一些實施例,井區22為透過佈植p型雜質至基板20中而形成的p型井,其可為硼、銦或類似者。根據本揭露的其他實施例,井區22為透過佈植n型雜質至基板20中而形成的n型井,其可為磷、銻或類似者。所形成的井區22可延伸至基板20的頂面。n型雜質濃度或p型雜質濃度可等於或少於1018cm-3,例如在約1017cm-3至約1018cm-3之間的範圍內。
參照第2圖,形成從基板20的頂面延伸進基板20的淺溝槽隔離(STI)區(隔離區)24。淺溝槽隔離(STI)區(隔離區)24在下文替代地稱為淺溝槽隔離(STI)區。對應的製程在第19圖中的製程流程以製程404顯示。基板20在相鄰的STI區24之間的部分稱為半導體條(strip)26。為了形成STI區24,墊氧化物(pad oxide)層28及硬遮罩層30形成於半導體基板20上,且接著被圖案化。墊氧化物層28可為一包括氧化矽的薄膜。根據本揭露的一些實施例,墊氧化物層28在熱氧化製程中形成,其中半導體基板20的頂面層被氧化。墊氧化物層28作為半導體基板20及硬遮罩層30之間的附著層(adhesion layer)。墊氧化物層28也可作為蝕刻硬遮罩層30的蝕刻停止層。根據本揭露的一些實施例,硬遮罩層30由氮化矽形成,且使用例如低壓化學氣相沉積(LPCVD)來形成。根據本揭露的一些實施例,硬遮罩層30透過矽的熱氮化或電漿增強化學氣相沉積(PECVD)形成。光阻(未顯示)形成於硬遮罩層30上,且接著被圖案化。接著以經圖案化的光阻層作為蝕刻遮罩來圖案化硬遮罩層30,以形成硬遮罩30,如第2圖所示。
接著,以圖案化的硬遮罩層30作為蝕刻遮罩以蝕刻墊氧化物層 28及基板20,接著以一種或多種介電材料填充在基板20中所形成的溝槽。執行一平坦化製程(像是化學機械研磨(CMP)製程或機械研磨製程)以移除介電材料的多餘部分,而介電材料的剩餘部分為STI區24。STI區24可包括襯層介電質(未顯示),可為透過將基板20的表面層熱氧化而得的熱氧化物。襯層介電質也可為一沉積的氧化矽層、氮化矽層或類似者,以例如原子層沉積(ALD)、高密度電漿化學氣相沉積(HDPCVD)或化學氣相沉積(CVD)來形成。STI區24也可包括襯層氧化物上的介電材料,其中介電材料可藉由流動化學氣相沉積(FVCD)、旋轉塗佈或類似者來形成。根據一些實施例,襯層介電質上的介電材料可包括氧化矽。
硬遮罩30的頂面與STI區24的頂面可實質上地彼此齊平。半導體條26在相鄰的STI區24之間。根據本揭露的一些實施例,半導體條26為原本的基板20的部分,因此半導體條26的材料與基板20相同。根據本揭露的替代實施例,半導體條26為置換條,其藉由蝕刻STI區24之間的基板20的部分以形成凹槽,且執行磊晶製程以在凹槽中再生長另一種半導體材料來形成。因此,半導體條26由不同於基板20的半導體材料形成。根據本揭露的一些實施例,半導體條26由矽鍺、碳化矽或是III-V化合物半導體材料形成。
參照第3圖,STI區24被凹蝕。半導體條26的頂部部分因此突出高於STI區24的剩餘部分的頂面24A以形成突出鰭片36。對應的製程在第19圖中的製程流程400以製程406顯示。可以乾式蝕刻製程執行蝕刻,並利用例如HF3與NH3作為蝕刻氣體。在蝕刻製程期間可產生電漿。也可導入氬氣。根據本揭露的替代實施例,以濕式蝕刻製程執行凹蝕STI區24的步驟。蝕刻化合物可包括例如:HF。
在上述實施例中,可透過任何合適的方法來圖案化鰭片。例如,可利用包括雙重圖案化或多重圖案化的一道或多道光微影製程來圖案化鰭片。一般來說,雙重圖案化或多重圖案化製程結合了光微影製程及自我對準製程,可創造具有例如比使用單一、指向性的光微影製程能獲得的節距還要更小的節距的圖案。舉例來說,在一實施例中,一犧牲層在基板上形成,並且以光微影製程進行圖案化。間隔物沿著圖案化的犧牲層以自我對準製程形成。接著移除犧牲層,剩下的間隔物或心軸(mandrel)可接著用來將鰭片圖案化。
第4A、4B、5A、5B、5C、5D、6A、6B、7A以及7B圖根據一些實施例繪示虛置閘極堆疊45的形成過程。參照第4A圖,形成虛置介電層38。對應的製程在第19圖中的製程流程400以製程408顯示。根據本揭露的一些實施例,使用保形沉積製程來形成虛置介電層38,其可包括原子層沉積(ALD)、化學氣相沉積(CVD)、或類似者。虛置介電層38的材料可包括氧化矽、氮化矽、碳氮化矽、或類似者。藉由使用保形沉積製程,虛置介電層38的水平部分的水平厚度與垂直部分的垂直厚度彼此相等或實質上相等,例如,相差小於水平厚度的約20%。根據本揭露的一些實施例,虛置介電層38的厚度T1在介於約1奈米與約10奈米之間的範圍內。根據替代的實施例,藉由氧化(例如藉由熱氧化製程)突出鰭片36的表面部分來形成虛置介電層38。所形成的介虛置電層38將形成於突出鰭片36露出的表面上,但並未形成在STI區24的頂面上。因此,使用虛線表示在STI區24的頂部上的部分虛置介電層38可能形成或不形成,取決於形成製程。第4B圖繪示第4A圖中顯示的參考剖面4B-4B。
第5A圖根據本揭露的一些實施例繪示非保形蓋層40的形成過程。對應的製程在第19圖中的製程流程400以製程410顯示。如第5A圖所示, 非保形蓋層40形成於虛置介電層38上,且並不包括直接位於STI區24上方的水平部分。
第5B、5C以及5D圖繪示第5A圖中顯示的參考剖面5B/5C/5D-5B/5C/5D,其中非保形蓋層40的底部在第5B、5C以及5D圖中位於不同的水平面。如第5B、5C以及5D圖中所示,非保形蓋層40具有直接位於突出鰭片36上方的頂部部分40A,且頂部部分的厚度被標示為T2。頂部部分40A具有均勻的厚度。舉例來說,厚度T2A、T2B與T2C可相同,彼此之間的差異可為約5%或更小。非保形蓋層40可包括或不包括在突出鰭片36的側壁上的側壁部分40B,其側壁部分(若有的話)接觸虛置介電層38的側壁部分。舉例來說,第5B圖顯示側壁部分40B延伸至突出鰭片36的底部。第5C圖繪示非保形蓋層40的底部末端與突出鰭片36的頂面在大約相同的水平面,其表示非保形蓋層40的側壁部分實質上並不存在。第5D圖繪示非保形蓋層40的底部末端延伸至低於突出鰭片36的頂面。側壁部分40B的底部末端可位於突出鰭片36的頂面與底面之間的任何水平面上。舉例來說,側壁部分40B的底部末端可位於突出鰭片36的頂面與中間高度(mid-height)之間。
非保形蓋層40的側壁部分的厚度在第5B圖中標示為T3。根據本揭露的一些實施例,在突出鰭片36的中間高度位置測量厚度T3,其中中間高度在突出鰭片36的頂面與底部之間。根據本揭露的一些實施例,厚度T2在介於約5埃與約10埃之間的範圍。厚度T3在介於約0埃與約2埃之間的範圍,其中厚度0埃表示非保形蓋層40並未延伸至中間高度位置。應了解的是,當厚度T3(在突出鰭片36的中間高度位置)為0埃時,非保形蓋層40依然可延伸至突出鰭片36的頂部部分的側壁(如第5D圖中所示),舉例來說,延伸至從突 出鰭片36的頂部算起25%處。然而,非保形蓋層40的側壁部分40B的厚度從頂部往下逐漸(且可為連續地)減少,且最終減少至0埃。
非保形蓋層40的側壁部分40B的平均厚度可表示為TSide-Avg,且保形蓋層40的頂部部分的平均厚度可表示為TTop-Avg。在整個說明中,可藉由選擇複數個(例如5個或更多)相等間隔的位置,測量這些位置的厚度,並計算這些厚度的平均值來決定平均厚度。舉例來說,第5B、5C以及5D圖繪示3個相等間隔的位置作為示例,其可為決定TTop-Avg的候選位置。根據本揭露的一些實施例,比例TSide-Avg/TTop-Avg可小於約0.2,且可在介於約0.05與約0.2之間的範圍。作為比較,關於保形的虛置介電層38,若介電層的側壁部分的底部部分表示為T1-B,且介電層的側壁部分的頂部部分表示為T1-T,根據本揭露的一些實施例,比例T1-B/T1-T可在介於約0.9與約1.0之間的範圍。
第17圖根據一些實施例示意性地繪示沉積非保形蓋層40的非保形原子層沉積(ALD)製程的循環。第17圖包括3個圖表A、B和C,其中分別包括前驅物作為時間的函數的圖表,吹掃氣體作為時間的函數的圖表,以及電漿作為時間的函數的圖表。前驅物的圖表、吹掃氣體的圖表以及電漿的圖表的時間(水平軸)彼此對齊。對於圖表A,當對應的Y軸值等於0時,表示前驅物的導入已經停止。對於圖表B,當對應的Y軸值等於0時,表示吹掃氣體的導入已經停止。對於圖表C,當對應的Y軸值等於0時,表示電漿的產生已經停止。在下方的例子中簡要地討論導入前驅物、導入吹掃氣體以及產生電漿的順序。
參照第17圖,在時間點TP1,吹掃氣體(圖表B)導入反應腔室。反應腔室可為抽真空的真空腔室,且可用於執行原子層沉積製程。吹掃氣 體具有在對應的反應腔室中驅淨前驅物的功能。此外,吹掃氣體被用來產生電漿,其將提供能量給吸附於第4A圖及第4B圖所示的晶圓10上的前驅物。根據一些實施例,吹掃氣體包括惰性氣體,其可包括氬氣、氦氣或類似者,或上述的組合。吹掃氣體可能包括或不包括另一種氣體,其可具有高復合率(recombination rate)(在後續的段落中將詳細討論),且此氣體在本文中被稱為高復合率氣體。根據本揭露的一些實施例,吹掃氣體中包含的高復合率氣體可包括氫氣(H2)、氮氣(N2)或上述的組合。氮的添加也可造成所形成的非保形蓋層40中氮原子的百分比增加。吹掃氣體在整個非保形沉積製程中可連續地導入反應腔室,且連續地被汞送出(pump out)反應腔室。根據本揭露的一些實施例,吹掃氣體的整體流量在介於約50sccm以及約6SLM之間的範圍。反應腔室中的壓力可在介於約1000mTorr以及約8000mTorr之間的範圍。惰性氣體的流速可在介於約25sccm以及約6SLM之間的範圍,且高復合氣體的流速可在介於約0sccm以及約6SLM之間的範圍,且可在介於約0sccm以及約25sccm之間的範圍,或者在介於約25sccm以及約6SLM之間的範圍。在沉積製程期間,晶圓的溫度在介於約50℃以及約500℃之間的範圍。
根據一些實施例,吹掃氣體包括氬氣、氦氣,且不包括氧氣(O2)。這與傳統的PEALD不同,因為在用來形成包含氧的介電質的傳統PEALD中氧氣(O2)與氬氣一起使用,而氬氣將氧氣分解為氧自由基。然而,在本揭露的一些實施例中並未添加氧氣,且在所形成的非保形蓋層40中的氧氣完全由前驅物提供。吹掃氣體可不包括氮氣(N2),或者可包括一些氮氣。添加氮氣可具有兩種功能。首先,其復合率高於氧氣。其次。藉由添加氮氣可增加非保形蓋層40中的氮原子百分比。根據一些實施例,氮氣流速與氬氣流速的流速比例N2/Ar可小於 約0.2。再者,可添加少量流量的氫氣以改善前驅物中鍵結斷裂的效率。舉例來說,氫氣流速與氬氣流速的流速比例H2/Ar可小於約0.2。在沉積製程中,可添加較小的偏壓功率(bias power)以改善非保形行為。舉例來說,偏壓功率可在介於約0瓦特以及約100瓦特之間的範圍。
在時間點TP2,如第17圖中的圖表A所示,開始導入前驅物。根據本揭露的一些實施例,前驅物包括含矽的前驅物,其亦可為含胺的前驅物或含CH配位基的前驅物。舉例來說,前驅物可包括二乙基氨基矽烷(bis-di-ethyl-amino-silane,BDEAS)和二異丙基氨基矽烷(di-iso-propyl-amino-silane,DIPAS),或類似者,或上述的組合。前驅物的流速可在介於約500sccm以及約6SLM之間的範圍。導入前驅物的時間週期△TP2可大於約0.1秒,且可在介於約0.1秒以及約10秒之間的範圍。在導入前驅物的期間,前驅物被吸附於第4A圖及第4B圖所示的晶圓10上以形成單層,且多餘的前驅物被汞送到反應腔室外面。根據一些實施例,單層覆蓋晶圓10的所有露出的表面,包括突出鰭片36。應了解的是,若其他氣體(例如N2,Ar,H2)與前驅物一起使用,則分解這些氣體且使這些氣體附著在晶圓10的表面上所需的能量較高,因此這些氣體將不會吸附在晶圓10的表面上。在時間點TP3,如圖表A所示,停止導入前驅物,而持續導入吹掃氣體。隨著吹掃氣體的連續導入和驅淨,多餘的氣態前驅物從反應腔室中被移除,而吸附在晶圓10上的前驅物則保留。時間週期△TP3足夠長,因此氣態前驅物可被充分地移除。根據本揭露的一些實施例,時間週期△TP3可大於約1秒,且可在介於約1秒以及約20秒之間的範圍,或者更長。較長的驅淨時間並不影響結果,但會導致產能下降。
在時間週期△TP3結束之後,在時間週期△TP4,提供功率以從 吹掃氣體產生電漿,如圖表C所示。電漿導致被吸附的前驅物的發生反應,此前驅物中與矽原子的鍵結斷裂,產生自由基(與離子),例如碳自由基、氮自由基、氫自由基等,以及其對應的離子。矽原子與與晶圓10的表面保持鍵結。自由基再次與矽原子鍵結以形成單層的介電質,例如SiC、SiN、SICN、或類似者。所形成的介電層為非保形,並參照第16圖詳細討論非保形介電質形成過程的機制。
此反應為自停止(self-stopping),因為被吸附的前驅物分子的量為限量,一旦被吸附的前驅物分子完全反應,則反應將會停止。因此,選擇足夠長的時間週期△TP4以使被吸附的前驅物分子完全反應,但選擇足夠短的時間週期△TP4以使產能不被影響。根據一些實施例,△TP4可大於約0.05秒,且可在介於約0.05秒以及約10秒之間的範圍。產生電漿的功率可在介於約10瓦特以及約500瓦特之間的範圍。可使用電感式耦合電漿(Inductively Coupled Plasma,ICP)模式、電容耦合電漿(Capacitively Coupled Plasma,CCP)模式、或類似者來產生電漿。根據一些例子,RF功率的頻率為13.56MHz,也可以使用其他頻率。
在時間週期△TP4結束之後,在時間點TP5關閉電漿。根據本揭露的一些實施例,提供失效(lapse)時間週期△TP5,在此時間段中連續地開啟吹掃氣體,同時關閉前驅物(圖表A)和電漿(圖表C)。失效時間週期△TP5在時間點TP6停止。應當理解的是,若執行另一個非保形原子層沉積製程循環的話,時間點TP6也是下一個原子層沉積循環的時間點TP1。如此一來,非保形原子層沉積循環便結束。後續的非保形原子層沉積循環可以是上述非保形原子層沉積循環的重複執行。可重複非保形原子層沉積循環直到達到所需要的厚度T2(第5B圖)。根據本揭露的一些實施例,可執行介於1個至約1000個之間 的循環。循環總數取決於實施例的特定應用。
根據本揭露的一些實施例,為了準備下一個非保形原子層沉積循環,總時間週期(△TP1+△TP5)提供時間以驅淨未反應的自由基、離子等。根據本揭露的一些實施例,總時間週期(△TP1+△TP5)介於約0.1秒以及約100秒之間。根據本揭露的一些實施例,時間週期△TP4可為足夠長的,因此總時間週期(△TP1+△TP5)可減少為0秒。這代表若電漿開啟足夠長的時間,舉例來說,大於約1秒,則前驅物的未反應的自由基、離子等在電漿開啟的期間將會被充分地驅淨。因此,在電漿關閉之後,可立即開始下一個循環的前驅物導入。
為了達成較佳的結果且不犧牲產能,可最佳化時間週期△TP1、△TP2、△TP3、△TP4及△TP5。舉例來說,可以盡可能地縮短時間週期△TP2與時間週期△TP4,舉例來說,在介於約0.1秒以及約10秒之間的範圍,且可接近於約0.1秒。時間週期△TP2與△TP4可彼此相近或相等,舉例來說,差值(△TP2-△TP4)/△TP2的絕對值可小於約0.2。另一方面,時間週期△TP2與△TP4小於時間週期△TP3和△TP5,因此在時間週期△TP3和△TP5可進行充分的驅淨。
根據一些實施例,在一個或多個非保形原子層沉積循環之後執行氧化製程,以氧化經沉積的非保形蓋層40(第4A圖與第4B圖)。因此,所形成的經氧化的非保形蓋層40可由SiOC、SiON、SiOCN等形成,或包含SiOC、SiON、SiOCN等,取決於非保形蓋層40的成份,其可由SiC、SiN、SiCN等形成,或包含SiC、SiN、SiCN等。根據其他實施例,可跳過氧化製程,且所形成的非保形蓋層40可由SiC、SiN、SiCN等形成,或包含SiC、SiN、SiCN等。應理解的是,虛置介電層38與非保形蓋層40可能包含(或不包含)選自Si、O、C、N及類似者的同樣元素,而虛置介電層38與非保形蓋層40可具有不同的成 份(不同的元素百分比),無論他們是否包含相同的元素。第18A圖與第18B圖繪示執行氧化製程的圖表。
根據一些實施例,當所形成的非保形蓋層40為SiCN時,碳的原子百分比可在介於約1百分比以及約50百分比之間的範圍,且氮的原子百分比可在介於約1百分比以及約50百分比之間的範圍。當非保形蓋層40為SiOCN時,氧的原子百分比可在介於約1百分比以及約50百分比之間的範圍,碳的原子百分比可在介於約1百分比以及約50百分比之間的範圍,且氮的原子百分比可在介於約1百分比以及約50百分比之間的範圍。
第18A圖繪示包括一單一循環的連續氧化製程。第18A圖中頂部的圖表繪示氧化氣體與吹掃氣體的導入與時間的關係,而底部的圖表繪示電漿與時間的關係。頂部的圖表中的時間對應於底部圖表的時間。根據本揭露的一些實施例,氧化與吹掃氣體包括氧化氣體,其可包括氧(O2)、臭氧(O3)、及/或類似者。氧化與吹掃氣體也可包括承載(吹掃)氣體,其可包括氮氣(N2)及/或惰性氣體,例如氬、氦、或類似者。在替代的實施例中,使用氮氣而未使用氧氣,且可添加承載氣體。因此,在第18圖中的相應製程為氮化製程,而非氧化製程。氧化氣體的流速可在介於約1sccm以及約6000sccm之間的範圍,而承載氣體的流速可在介於約1sccm以及約6000sccm之間的範圍。氧化過程的時間週期可在介於約0.1秒以及約100秒之間的範圍。
第18B圖根據替代的實施例繪示氧化製程。在此製程中,在導入氧化及吹掃氣體時,電漿並非全程保持開啟,而是在複數個循環中被開啟與關閉。氧化及吹掃氣體的氣流可相似於參照第18A圖所討論的氣流。根據一些實施例,開啟/關閉的比例可在介於約0.1以及約0.9之間的範圍。電漿開啟/關 閉的循環總數可在介於約5以及約10之間的範圍。
在進行第18A圖或第18B圖中所顯示的單一循環或多循環氧化製程之後,製程可回到非保形原子層沉積循環或第17圖中所顯示的循環。第17圖中的製程與第18A(或18B)圖中的製程也可共同形成複合循環(composite cycle),且可重複此複合循環。
第16圖繪示一示例,顯示當在晶圓10上執行非保形原子層沉積循環時,形成非保形蓋層40的中間化學結構。利用DIPAS作為示例氧化物來顯示此示例。然而,所討論的機制也適用於其他種類的前驅物,例如BDEAS。使用參考數字112、114、116、118以及120來標示第16圖中所顯示的中間結構,以區別在不同階段產生的中間結構。晶圓10包括基層(base layer)110,其可代表露出的部件,包括第4A圖以及第4B圖中所顯示的虛置介電層38與STI區24,假定這些部件在非保形原子層沉積製程的初期就露出。應理解的是,在第4A圖以及第4B圖中顯示的結構為示例,且這些實施例可適用於其他結構。
第16圖中的初始結構稱為結構112。在所繪示的示例中,基層110顯示為含矽層,其形態可為結晶矽、非晶矽、多晶矽、或含矽化合物,包括但不限於氧化矽、氮化矽、碳氧化矽、氮氧化矽、或類似者。根據本揭露的一些實施例,OH鍵形成於基層(含矽層)110的表面,其中OH鍵可在基層110的表面鍵結至矽原子。
進一步參照結構112,前驅物被導入(在第17圖中的時間點TP2),其被表示為鍵結至兩個氫原子與以「L」表示的兩個配位基(官能基)的矽原子,其中,當前驅物包括DIPAS,配位基L為具有化學式N(C2H5)2的官能基。一些前驅物分子被吸附在露出的晶圓10上,如結構112中所示。露出的表面可被前 驅物分子的毯覆(blanket)單層覆蓋。接著停止前驅物的導入,且持續導入吹掃氣體,從而將未吸附的多餘的前驅物分子驅淨出反應腔室。
再次參照第16圖,開啟電漿(在第17圖中的時間點TP4),且由結構112形成結構114。假設在吹掃氣體中使用氬氣,則產生氬離子,這些氬離子會攻擊吸附的前驅物分子,且使前驅物分子中OH之間以及H與Si之間的鍵斷裂。如此一來,前驅物分子中的矽原子鍵結至晶圓10上的氧原子。矽原子也可鍵結至官能基L或氫原子,如所形成的結構114所示。
隨著電漿的產生,如結構114中的官能團L進一步斷裂以產生碳自由基和離子,氮自由基和離子以及氫自由基和離子,並且所得到的結構顯示為結構116。這些自由基與離子也形成電漿,且包括進一步地被分解的離子和自由基等的電漿產生過程被稱為電漿再生(plasma re-generation)。再生的電漿包括碳自由基(C*)、氮自由基(N*)、氫自由基(H*)、CN自由基,如結構118所示。接著,由電漿再生所產生的自由基與離子鍵結至矽原子,且形成結構120。在所繪示的示例中,所形成的非保形蓋層(介電層)40包括SiCN、SiOCN、及類似者。
在形成結構120之後,重複執行第16圖及第17圖中顯示的原子層沉積循環,從而沉積複數個單層以形成非保形蓋層(介電層)40,如第5A圖與第5B圖中所示。在後續的原子層沉積循環中,在先前的原子層沉積循環中形成的Si-C鍵、Si-N鍵與Si-O鍵可能會斷裂,且更多被導入至後續的原子層沉積循環中的前驅物中的Si原子發生鍵結,接著形成更多的Si-C鍵、Si-N鍵。重複第16圖中顯示的原子層沉積循環直到所形成的非保形蓋層(介電層)40具有所期望的厚度。
根據本揭露的一些實施例為非保形原子層沉積製程,以下簡要地 討論其機制。再參照第4B圖,因為在鰭片頂部的電漿發生聚集(congregation),因此電漿和所產生的自由基集中在突出鰭片36的頂部附近,且由於突出鰭片36的頂部位於氣流的路徑上,因此不容易出現在突出鰭片36之間的溝槽中。因此,碳自由基C*與氮自由基N*更容易與靠近鰭片的頂部的矽原子的開放鍵發生鍵結。相較之下,當電漿開啟時,若前驅物導入反應腔室或留在反應腔室中(並非吸附),則會形成保形的薄膜。SiC、SiN或SiCN可形成為非保形蓋層(介電層)40,取決於前驅物與製程條件。C*與N*比H*自由基更具活性,因此所形成的非保形蓋層(介電層)40不包括氫。
為了讓碳自由基C*與氮自由基N*鍵結至矽原子,這些自由基需要移動(擴散)至相應的位置。然而,自由基的反應性較高,且擴散長度短,C*與N*自由基從鰭片頂部移動至突出鰭片36的中間及底部的可能性較低。再者,由於在驅淨多餘的前驅物分子之後開啟電漿,因此來自被吸附的前驅物的C*與N*自由基的來源較少,且C*與N*自由基的總數量較少。C*與N*自由基將在鰭片的頂端局部地且容易地與矽反應,且較不容易移動至突出鰭片的中間或底部並與矽原子鍵結。結果,如第5A、5B、5C及5D圖所示,經沉積的非保形蓋層(介電層)40為非保形。
根據一些實施例,如同參照第17圖所討論,提供高復合率氣體,例如氮(N2)及/或氫(H2)作為吹掃氣體的一部份。這些氣體也可被惰性氣體電漿分解為自由基。高復合率氣體具有高復合率,意味著其自由基N*與H*非常容易再復合,舉例來說,再次形成N2及H2。舉例來說,高復合率氣體具有比氧自由基O*還高的復合率(且擴散較短的距離)。因此,這些氣體具有較短的擴散距離,且添加這些氣體將放大非保形行為,並導致比例TSide-Avg/TTop-Avg變得更 小。
為了達到非保形行為,亦調整製程條件。因為有更多可再復合的自由基的緣故,已發現較高壓的吹掃氣體(可包括N2)與來自吸附的前驅物的自由基導致高復合率,且因此高壓導致經沉積的非保形蓋層(介電層)40有更非保形的輪廓,而反之亦然。另一方面,若壓力太高,非保形蓋層(介電層)40的品質可能下降,因為自由基所攜帶的能量太低。根據本揭露的一些實施例,壓力被控制在介於約1000mTorr以及約8000mTorr之間的範圍。
此外,減少電漿功率對於形成非保形蓋層40更有利,因為自由基較不容易到達並鍵結至鰭片底部。另一方面,若電漿功率太低(例如低於10瓦特),則薄膜品質也跟著下降。根據本揭露的一些實施例,電漿功率被控制在介於約10瓦特以及約500瓦特之間的範圍。
作為第16圖中顯示的原子層沉積循環的結果,單層的非保形蓋層(介電層)40沉積於第5A、5B、5C及5D圖中所顯示的突出結構的頂部上,且可能不會形成於突出結構的側壁(特別是在側壁的下部部份)上以及STI區24的頂部上。再者,比起對應的上部部分,側壁的下部部份上沉積有非保形蓋層(介電層)40的可能性較低。這導致了非保形蓋層(介電層)40的側壁部分40B的下部部份越來越小(如第5C圖及第5D圖中所示),或者厚度均勻但比頂部部分薄,如第5B圖中所示。
參照第6A圖及第6B圖繪示出虛置閘極電極層42的沉積。對應的製程在第19圖中的製程流程400以製程412顯示。第6B圖繪示第6A圖中的參考截面6B-6B。虛置閘極電極42可由多晶矽或非晶矽形成,或者包含多晶矽或非晶矽,也可使用其他材料。形成製程可包括沉積製程以及隨後的平坦化製 程。接著,在虛置閘極電極層42上方沉積硬遮罩層44。對應的製程在第19圖中的製程流程400以製程414顯示。硬遮罩層44可由氮化矽、氧化矽、碳氮氧化矽、或上述的多層結構來形成,或者包括氮化矽、氧化矽、碳氮氧化矽、或上述的多層結構。
第7A圖與第7B圖繪示形成虛置閘極堆疊45的圖案化製程。對應的製程在第19圖中的製程流程400以製程416顯示。第7B圖繪示第7A圖中的參考截面7B-7B。根據本揭露的一些實施例,硬遮罩層先被圖案化,例如使用圖案化光阻作為蝕刻遮罩。所得到的硬遮罩被稱為硬遮罩44’。經圖案化的硬遮罩44’接著被用來作為蝕刻遮罩,以蝕刻下方的虛置閘極電極層42與虛置介電層38以分別形成虛置閘極電極42’與虛置閘極介電質38’。使用非等向性蝕刻製程來執行蝕刻步驟。
可利用選自C2F6、CF4、SO2、HBr與Cl2與O2的混合物、HBr與Cl2與O2與CF2的混合物等來執行蝕刻虛置閘極電極層42(可由多晶矽形成)的步驟。在虛置閘極電極層42的蝕刻製程中,非保形蓋層40與虛置介電層38被用來作為蝕刻停止層。非保形蓋層40有助於避免虛置介電層38在蝕刻虛置閘極電極層42的製程中被蝕刻穿過。否則,當未形成非保形蓋層40時,若虛置介電層38被蝕刻穿過,由於突出鰭片36與虛置閘極電極層42可能以相同或相似的材料(例如矽)形成,突出鰭片36將被嚴重損害,或者甚至完全被移除。在突出鰭片36的頂部較厚的非保形蓋層40對下方的虛置介電層38與突出鰭片36提供更強的保護。
根據一些實施例,在圖案化虛置閘極電極層42之後,非保形蓋層40露出的部分與下方的虛置介電層38的部分被蝕刻,露出下方的突出鰭片 36。根據本揭露的替代實施例,非保形蓋層40被蝕刻,且下方的虛置介電層38的部分未被圖案化,且將在形成閘極間隔物之後被圖案化。根據本揭露的替代實施例,非保形蓋層40與下方的虛置介電層38的部分皆未被圖案化,且將在形成閘極間隔物之後被圖案化。
接著,如第8圖中所示,形成閘極間隔物46於虛置閘極堆疊45的側壁上。對應的製程在第19圖中的製程流程400以製程418顯示。根據本揭露的一些實施例,閘極間隔物46由一種或多種介電材料形成,例如氮化矽、碳氮化矽或類似者,且可具有單層結構或包括複數個介電層的多層結構。
可執行蝕刻製程以蝕刻非保形蓋層40與下方的虛置介電層38的露出的部分(若尚未被圖案化)。對應的製程在第19圖中的製程流程400以製程420顯示。使用虛線以表示直接在閘極間隔物46下方的虛置介電層38以及非保形蓋層40的部分可存在或不存在,取決於露出的部分在先前的製程中是否被蝕刻。突出鰭片36未被虛置閘極堆疊45與閘極間隔物46覆蓋的部分被蝕刻,導致第9圖中顯示的結構。凹蝕可為非等向性的,因此突出鰭片36直接位於虛置閘極堆疊45與閘極間隔物46下方的部分被保護且未被蝕刻。根據一些實施例,被凹蝕的半導體條26的頂面可低於STI區24的頂面24A。因此形成凹槽50。凹槽50包括位於虛置閘極堆疊45的相對側的一些部分,以及在突出鰭片36的剩餘部分之間的一些部分。
接著,藉由在凹槽50中選擇性地成長半導體材料(藉由磊晶製程)來形成磊晶區54,結果形成第10圖中的結構。對應的製程在第19圖中的製程流程400以製程422顯示。在磊晶製程中可原位摻雜p型雜質或n型雜質,取決於所形成的鰭式場效電晶體為p型鰭式場效電晶體或n型鰭式場效電晶體。 舉例來說,若所形成的鰭式場效電晶體是p型鰭式場效電晶體,可成長矽鍺硼(SiGeB)、矽硼(SiB)、或類似者。相反地,若所形成的鰭式場效電晶體是n型鰭式場效電晶體,則可成長磷化矽(SiP)、碳磷化矽(SiCP)或類似者。根據本揭露的替代實施例,磊晶區54包括III-V化合物半導體,例如GaAs、InP、GaN、InGaAs、InAlAs、GaSb、AlSb、AlAs、AlP、GaP、上述的組合,或上述的多層。在以磊晶區54填充凹槽50之後,磊晶區54的進一步的磊晶成長導致磊晶區54水平地擴展,且可形成刻面(facet)。磊晶區54的進一步成長也可導致相鄰的磊晶區54彼此合併。可產生空洞(氣隙(air gaps))56。根據本揭露的一些實施例,磊晶區54的形成在磊晶區54的頂面仍為波浪形時結束,或者在互相合併的磊晶區54的頂面變平坦時結束,其可藉由在磊晶區54上進一步地生長來達成,如第6圖所示。
在形成磊晶區54之後,可進一步地將n型雜質或p形雜質佈植進入磊晶區54以形成源極以及汲極區,其也以參考數字54標示。根據揭露的替代實施例,當磊晶區54在磊晶製程中被原位摻雜p型雜質或n型雜質時,佈植製程被跳過。
第11A圖繪示形成接觸蝕刻停止層(CESL)58與層間介電質(ILD)60之後的結構的透視圖。對應的製程在第19圖中的製程流程400以製程424顯示。接觸蝕刻停止層58可由氧化矽、氮化矽、碳氮化矽、或類似者來形成,且可藉由CVD、ALD或類似者來形成。層間介電質層60可包括由例如FCVD、旋轉塗布、CVD或另外的沉積方法來形成的介電材料。層間介電質層60可由含氧介電材料形成,其可為氧化矽基(silicon oxide based)材料,例如四乙氧基矽烷(TEOS)氧化物、磷矽酸鹽玻璃(PSG)、硼矽酸鹽玻璃(BSG)、硼摻雜磷矽酸鹽玻 璃(BPSG)或類似者。可執行例如CMP製程或機械研磨製程(mechanical grinding process)的平坦化製程來使得層間介電質層60、虛置閘極堆疊45與閘極間隔物46的頂面彼此齊平。第11B圖繪示第11A圖中的參考截面11B-11B。第11B圖中標示24A和24B的兩條虛線分別表示STI區24的頂面24A和底面24B的位置。
接著,移除硬遮罩層44’、虛置閘極電極42’非保形蓋層40以及虛置閘極介電質38’,在閘極間隔物46之間形成溝槽62,如第12A圖與第12B圖中所示。第12B圖繪示第12A圖中的參考截面12B-12B。根據一些實施例,相似於第7A圖及第7B圖中所示的圖案化製程,使用非等向性蝕刻製程來執行虛置閘極電極42’的移除步驟。根據替代的實施例,使用濕式蝕刻製程來執行虛置閘極電極42’的移除步驟。萬一虛置閘極介電質38’受到損害,非保形蓋層40可保護突出鰭片36在移除虛置閘極電極42’時免於受到不希望的損害。在移除虛置閘極電極42’之後,非保形蓋層40透過溝槽62露出。接著,非保形蓋層40與虛置閘極介電質38’被移除,而所形成的結構顯示於第13圖。
第14A與第14B圖繪示置換閘極堆疊64與自對準硬遮罩80的形成。第14B圖繪示第14A圖中的參考截面14B-14B。如第14A與第14B圖中所示,形成置換閘極堆疊64。對應的製程在第19圖中的製程流程400以製程426顯示。置換閘極堆疊64包括閘極介電層70與閘極電極72。閘極介電層70可包括界面層(IL)66與高介電常數介電層68(第14B圖)。界面層66形成於突出鰭片36所露出的表面上,且可包括例如氧化矽層的氧化層,此氧化層透過對突出鰭片36的熱氧化、化學氧化製程或沉積製程來形成。高介電常數介電層68包括高介電常數介電材料,像是氧化鉿、氧化鑭、氧化鋁、氧化鋯或類似者。高介 電常數介電材料的介電常數(k值)高於3.9,且可高於約7.0。根據本揭露的一些實施例,高介電常數介電層68透過ALD、CVD或類似者來形成。
進一步地參照第14A圖與第14B圖,閘極電極72形成於閘極介電質70上,閘極電極72可包括堆疊層74(第14B圖),其可包括擴散阻障層(蓋層)與在擴散阻障層上方的一層(或多層)功函數層。擴散阻障層可由氮化鈦形成,可能摻雜(或不摻雜)矽。當氮化鈦與矽一起摻雜的時候,有時也可稱為矽氮化鈦(Ti-Si-N或TSN)。功函數層決定閘極電極的功函數,且包括至少一層,或者由不同材料形成的複數個層。根據對應的鰭式場效電晶體為n型鰭式場效電晶體或p型鰭式場效電晶體,可選擇特定的材料作為功函數層。例如,當鰭式場效電晶體為n型鰭式場效電晶體時,功函數層可包括TaN層與TaN層上方的鋁化鈦(TiAl)層。當鰭式場效電晶體為p型鰭式場效電晶體時,功函數層可包括TaN層、TaN層上方的TiN層,以及TiN層上方的TiAl層。在沉積蓋層與功函數層之後,形成一阻障層,其可能為另一層TiN層。可使用CVD來形成阻障層。
接著,沉積金屬填充區76。可透過CVD、ALD、化學氣相沉積(PVD)、或類似者來形成金屬填充區76,且金屬填充區76可由鈷、鎢、上述的合金、或其他金屬或合金來形成。
接著,執行平坦化製程(像是化學機械研磨(CMP)製程或機械研磨製程),使得置換閘極堆疊64的頂面與層間介電質層60的頂面共平面。在後續的製程中,置換閘極堆疊64被回蝕刻,而在相對的閘極間隔物之間形成凹槽。接著,在置換閘極堆疊64上形成自對準硬遮罩80。對應的製程在第19圖中的製程流程400以製程428顯示。根據本揭露的一些實施例,形成自對準硬遮罩 80的過程包括形成毯覆介電材料的沉積製程,以及移除閘極間隔物46以及層間介電質層60上方的多餘的介電材料的平坦化製程。自對準硬遮罩80可由例如氮化矽形成,或者由其他類似的介電材料形成。
在最終的結構中,突出鰭片36上方可能存在或者不存在保形的虛置閘極介電質38’的剩餘部分以及在保形的虛置閘極介電質38’上的非保形蓋層40,這些剩餘部分直接位於閘極間隔物46下方,如第14B圖所示。此外,保形的虛置閘極介電質38’與非保形蓋層40直接位於閘極間隔物46下方的剩餘部分的剖面圖可與第5B、5C及5D圖所示的剖面圖相同。
第15圖繪示一些在後續製程中形成的部件,其可包括源極/汲極接觸插塞84、源極/汲極矽化物區86以及閘極接觸插塞82的下部部分。對應的製程在第19圖中的製程流程400以製程430顯示。在本文並未討論其製程細節。由此形成鰭式場效電晶體90。
本揭露的實施例具有一些有利的特徵。藉由形成非保形蓋層,可提升在執行非等向性蝕刻時對下方的層/區的保護效果。另一方面,非保形蓋層在下方的突出部件的側壁上具有非常小的厚度,或者並未形成於下方的突出部件的側壁上,因此對後續的製程幾乎不造成影響。
根據本揭露的一些實施例,一種方法包括:形成突出結構;以及利用原子層沉積製程在此突出結構上形成一非保形膜,其中此非保形膜包括直接位於此突出結構上的頂部部分,其中此頂部部分具有第一厚度;以及於此突出結構的側壁上的側壁部分,其中此側壁部分具有小於第一厚度的第二厚度。在一實施例中,原子層沉積製程包括電漿輔助原子層沉積製程,其電漿在原子層沉積製程期間保持開啟。在一實施例中,此方法更包括形成一虛置閘極電極 層於非保形膜上;以及圖案化此虛置閘極電極層。在一實施例中,原子層沉積製程包括一循環,以及此循環包括:將含矽前驅物導入反應腔室中;停止導入此含矽前驅物;驅淨此含矽前驅物;以及在驅淨此含矽前驅物之後,開啟電漿。在一實施例中,驅淨步驟利用吹掃氣體來執行,以及其中在電漿開啟的時間週期,持續地導入此吹掃氣體至反應腔室中。在一實施例中,驅淨步驟利用吹掃氣體來執行,以及從結束導入含矽前驅物的第一時間點直到開始電漿開啟的第二時間點的期間,持續地導入吹掃氣體至反應腔室中。在一實施例中,突出結構的形成包括:形成突出半導體鰭片;以及在突出半導體鰭片上形成一介電層,其中非保形膜形成於此介電層上。在一實施例中,此非保形膜具有高於突出半導體鰭片的中間高度的底部末端。
根據本揭露的一些實施例,一種積體電路結構包括:一半導體基板;多個隔離區,延伸進入此半導體基板;一半導體鰭片,突出高於隔離區的多個頂面,其中隔離區位於此半導體鰭片的多個相對側;一介電層,位於半導體鰭片的頂面上及多個側壁上;以及一蓋層,包括直接位於半導體鰭片上的第一部分,其中蓋層包括:一頂部部分,於介電層上,其中頂部部分具有第一厚度;以及一側壁部分,於半導體鰭片的頂部部分的側壁上,其中此側壁部分具有小於第一厚度的第二厚度。在一實施例中,積體電路結構包括一閘極間隔物,此閘極間隔物包括直接在蓋層的頂部部分上的上部部分,以及在蓋層的側壁部分上的多個下部部分;以及一閘極堆疊,接觸此閘極間隔物。在一實施例中,蓋層的側壁部分具有高於半導體鰭片的中間高度的底部末端。在一實施例中,蓋層的側壁部分的多個下部部分比相對應的蓋層的側壁部分的多個上部部分薄。在一實施例中,蓋層的側壁部分的厚度從下部部分連續地增加至對應的上部部 分。在一實施例中,介電層與蓋層是由不同的材料形成。在一實施例中,介電層與蓋層包括選自以下群組的同樣的元素:Si、O、N、以及C,且介電層與蓋層具有不同的組成。在一實施例中,蓋層並不具有直接位於隔離區上的多個水平部分。
根據本揭露的一些實施例,包括:一突出結構,其突出高於在突出結構的兩側上的多個部件,其中此突出結構包括一頂面以及多個側壁表面;一介電蓋層,具有直接位於此突出結構上的一頂部部分,其中此介電蓋層的頂部部分具有均勻厚度,以及其中至少此突出結構的側壁表面的多個底部部分的上方並沒有形成介電蓋層;以及一額外的部件,其接觸:此介電蓋層的頂部部分;以及此突出結構的側壁表面的多個下部部分。在一實施例中,此突出結構包括:一內部部分;以及一保形的外部部分,位於此內部部分上,其中此介電蓋層的最低的末端與內部部分的頂面實質上在同樣的水平面。在一實施例中,此內部部分包括多晶矽,以及此保形的外部部分包括一介電材料。在一實施例中,此介電蓋層的頂部部分具有介於約5埃以及約10埃之間的厚度。
以上概述數個實施例之部件,以便在本揭露所屬技術領域中具有通常知識者可更易理解本揭露的觀點。在本揭露所屬技術領域中具有通常知識者應理解,他們能以本揭露為基礎,設計或修改其他製程和結構,以達到與在此介紹的實施例相同之目的及/或優勢。在本揭露所屬技術領域中具有通常知識者也應理解到,此類等效的製程和結構並無悖離本揭露的精神與範圍,且他們能在不違背本揭露之精神和範圍之下,做各式各樣的改變、取代和替換。
20:基板
24:淺溝槽隔離區
26:半導體條
36:突出鰭片
38:虛置介電層
40:非保形蓋層
40A:頂部部分
40B:側壁部分
T2A(T2),T2B(T2),T2C(T2),T3:厚度
T1-T:頂部部分
T1-B:底部部分

Claims (14)

  1. 一種積體電路結構製造方法,此方法包括:形成一突出結構;以及利用原子層沉積(atomic layer deposition,ALD)製程在該突出結構上形成一非保形膜,其中該非保形膜包括:一頂部部分,直接位於該突出結構上,其中該頂部部分具有一第一厚度;以及一側壁部分,於該突出結構的一側壁上,其中該側壁部分具有小於該第一厚度的一第二厚度,其中該非保形膜的該側壁部分具有高於該突出結構的一中間高度的一底部末端。
  2. 如請求項1所述之積體電路結構製造方法,其中該原子層沉積製程包括一電漿輔助原子層沉積製程,其電漿在該原子層沉積製程期間保持開啟。
  3. 如請求項1或2所述之積體電路結構製造方法,更包括:形成一虛置閘極電極層於該非保形膜上;以及圖案化該虛置閘極電極層。
  4. 如請求項1或2所述之積體電路結構製造方法,其中該原子層沉積製程包括一循環,以及該循環包括:將一含矽前驅物導入一反應腔室中;停止導入該含矽前驅物;驅淨該含矽前驅物;以及在驅淨該含矽前驅物之後,開啟電漿。
  5. 如請求項4所述之積體電路結構製造方法,其中該驅淨步驟利用 一吹掃氣體來執行,以及其中在該電漿開啟的時間週期,持續地導入該吹掃氣體至該反應腔室中。
  6. 如請求項4所述之積體電路結構製造方法,其中該吹掃步驟利用一吹掃氣體來執行,以及從結束導入該含矽前驅物的一第一時間點直到開始該電漿開啟的第二時間點的期間,持續地導入該吹掃氣體至該反應腔室中。
  7. 如請求項1或2所述之積體電路結構製造方法,其中該突出結構的形成包括:形成一突出半導體鰭片;以及在該突出半導體鰭片上形成一介電層,其中該非保形膜形成於該介電層上。
  8. 如請求項7所述之積體電路結構製造方法,其中該非保形膜具有高於該突出半導體鰭片的一中間高度的一底部末端。
  9. 一種積體電路結構,包括:一半導體基板;多個隔離區,延伸進入該半導體基板;一半導體鰭片,突出高於該些隔離區的多個頂面,其中該些隔離區位於該半導體鰭片的多個相對側;一介電層,位於該半導體鰭片的一頂面上及多個側壁上;一蓋層,包括直接位於該半導體鰭片上的一第一部分,其中該蓋層包括:一頂部部分,於該介電層上,其中該頂部部分具有一第一厚度;以及一側壁部分,於該半導體鰭片的一頂部部分的一側壁上,其中該側壁部分具有小於該第一厚度的一第二厚度,其中該蓋層的該側壁部分具有高於該半導體鰭片的一中間高度的一底部末端; 一閘極堆疊,位於該半導體鰭片上;以及一閘極間隔物,位於該閘極堆疊的一側壁上,其中該閘極間隔物包括直接位於該蓋層上方的一部分。
  10. 如請求項9所述之積體電路結構,其中該蓋層的該頂部部分的一第一邊緣物理接觸該閘極堆疊的一第二邊緣。
  11. 如請求項9所述之積體電路結構,其中該蓋層的該側壁部分的多個下部部分比相對應的該蓋層的該側壁部分的多個上部部分薄。
  12. 如請求項9所述之積體電路結構,其中該介電層與該蓋層包括選自以下群組的同樣的元素:Si、O、N、以及C,且該介電層與該蓋層具有不同的組成。
  13. 如請求項9所述之積體電路結構,其中該蓋層並不具有直接位於該些隔離區上的多個水平部分。
  14. 一種積體電路結構,包括:一突出結構,其突出高於在該突出結構的兩側上的多個部件,其中該突出結構包括一頂面以及多個側壁表面,其中該突出結構包括:一半導體鰭片;以及一介電層,該介電層包括位於該半導體鰭片的多個側壁上的多個側壁部分,以及直接位於該半導體鰭片的一頂面上方的一第一頂部部分;一介電蓋層,具有直接位於該介電層的該第一頂部部分上的一第二頂部部分,其中該介電蓋層的該第二頂部部分具有一均勻厚度,以及其中至少該突出結構的該些側壁表面的多個底部部分的上方並沒有形成介電蓋層;以及一額外的部件,其接觸: 該介電蓋層的該第二頂部部分;以及該突出結構的該些側壁表面的多個下部部分。
TW109132694A 2019-10-31 2020-09-22 積體電路結構及其製造方法 TWI820350B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201962928771P 2019-10-31 2019-10-31
US62/928,771 2019-10-31
US16/880,464 2020-05-21
US16/880,464 US11437491B2 (en) 2019-10-31 2020-05-21 Non-conformal capping layer and method forming same

Publications (2)

Publication Number Publication Date
TW202119478A TW202119478A (zh) 2021-05-16
TWI820350B true TWI820350B (zh) 2023-11-01

Family

ID=75689089

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109132694A TWI820350B (zh) 2019-10-31 2020-09-22 積體電路結構及其製造方法

Country Status (3)

Country Link
US (1) US11437491B2 (zh)
KR (1) KR102412763B1 (zh)
TW (1) TWI820350B (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20230110474A1 (en) * 2021-10-13 2023-04-13 Applied Materials, Inc. Selective silicon deposition
TWI809742B (zh) * 2021-12-03 2023-07-21 南亞科技股份有限公司 半導體元件
US11894427B2 (en) 2021-12-07 2024-02-06 Nanya Technology Corporation Semiconductor device, and method for manufacturing the same

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080233699A1 (en) * 2006-06-29 2008-09-25 Roger Allen Booth BULK FinFET DEVICE
US20150270398A1 (en) * 2014-03-24 2015-09-24 Stmicroelectronics, Inc. Methods of forming isolated channel regions for a finfet semiconductor device and the resulting device
US20170358576A1 (en) * 2016-06-09 2017-12-14 International Business Machines Corporation Self-aligned shallow trench isolation and doping for vertical fin transistors
US20180182618A1 (en) * 2016-12-22 2018-06-28 Asm Ip Holding B.V. Method of forming a structure on a substrate
TW201830700A (zh) * 2016-11-29 2018-08-16 台灣積體電路製造股份有限公司 半導體裝置
TWI673873B (zh) * 2014-10-13 2019-10-01 Samsung Electronics Co., Ltd. 半導體裝置以及製造該半導體裝置的方法

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100634372B1 (ko) 2004-06-04 2006-10-16 삼성전자주식회사 반도체 소자들 및 그 형성 방법들
KR101511933B1 (ko) 2008-10-31 2015-04-16 삼성전자주식회사 핀 전계 효과 트랜지스터의 제조방법
WO2013154842A1 (en) 2012-04-11 2013-10-17 Tokyo Electron Limited Aspect ratio dependent deposition to improve gate spacer profile, fin-loss and hardmask-loss for finfet scheme
US10164066B2 (en) * 2016-11-29 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices and methods of forming
US10497811B2 (en) 2016-12-15 2019-12-03 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET structures and methods of forming the same

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080233699A1 (en) * 2006-06-29 2008-09-25 Roger Allen Booth BULK FinFET DEVICE
US20150270398A1 (en) * 2014-03-24 2015-09-24 Stmicroelectronics, Inc. Methods of forming isolated channel regions for a finfet semiconductor device and the resulting device
TWI673873B (zh) * 2014-10-13 2019-10-01 Samsung Electronics Co., Ltd. 半導體裝置以及製造該半導體裝置的方法
US20170358576A1 (en) * 2016-06-09 2017-12-14 International Business Machines Corporation Self-aligned shallow trench isolation and doping for vertical fin transistors
TW201830700A (zh) * 2016-11-29 2018-08-16 台灣積體電路製造股份有限公司 半導體裝置
US20180182618A1 (en) * 2016-12-22 2018-06-28 Asm Ip Holding B.V. Method of forming a structure on a substrate

Also Published As

Publication number Publication date
TW202119478A (zh) 2021-05-16
US20210134983A1 (en) 2021-05-06
KR20210053169A (ko) 2021-05-11
KR102412763B1 (ko) 2022-06-27
US11437491B2 (en) 2022-09-06

Similar Documents

Publication Publication Date Title
US10879075B2 (en) Wrap-around contact plug and method manufacturing same
TWI724508B (zh) 半導體結構及其製造方法
TWI820350B (zh) 積體電路結構及其製造方法
TWI737007B (zh) 積體電路裝置及其形成方法
KR102028258B1 (ko) 반도체 디바이스 및 방법
US10510867B2 (en) FinFETs and methods of forming the same
US10811270B2 (en) Ultra narrow trench patterning using plasma etching
US20220384616A1 (en) Cut Metal Gate Processes
US20220359720A1 (en) Non-Conformal Capping Layer and Method Forming Same
TWI785590B (zh) 積體電路裝置及其製造方法
CN114914198A (zh) 栅极间隙壁、其形成方法及半导体装置
US20230238241A1 (en) Method Forming Gate Stacks Adopting Thin Silicon Cap
TWI785537B (zh) 半導體裝置及其形成方法
TWI796741B (zh) 形成電晶體及接觸插塞的方法及積體電路結構
TW202117815A (zh) 半導體裝置結構的形成方法
TW202129722A (zh) 半導體裝置的形成方法