TWI805708B - 具有電漿噴塗塗層之支撐環 - Google Patents

具有電漿噴塗塗層之支撐環 Download PDF

Info

Publication number
TWI805708B
TWI805708B TW108108416A TW108108416A TWI805708B TW I805708 B TWI805708 B TW I805708B TW 108108416 A TW108108416 A TW 108108416A TW 108108416 A TW108108416 A TW 108108416A TW I805708 B TWI805708 B TW I805708B
Authority
TW
Taiwan
Prior art keywords
coating
annular shoulder
support
ring body
outer edge
Prior art date
Application number
TW108108416A
Other languages
English (en)
Other versions
TW201946187A (zh
Inventor
菅 吳
中川敏行
中西孝之
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW201946187A publication Critical patent/TW201946187A/zh
Application granted granted Critical
Publication of TWI805708B publication Critical patent/TWI805708B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a coating or a hardness or a material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4411Cooling of the reaction chamber walls
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4585Devices at or outside the perimeter of the substrate support, e.g. clamping rings, shrouds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/04Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the coating material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/12Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the method of spraying
    • C23C4/134Plasma spraying
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Inorganic Chemistry (AREA)
  • Coating By Spraying Or Casting (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本揭露案關於用於熱處理腔室的支撐環。支撐環具有多晶矽塗層。多晶矽塗層使用電漿噴塗沉積製程而形成。

Description

具有電漿噴塗塗層之支撐環
本揭露案之態樣大致關於用以支撐基板的裝置,及用於形成此等裝置之方法。更具體而言,本揭露案的實施例關於具有多晶矽塗層的支撐環。
在諸如用於製造半導體裝置的基板的處理中,半導體基板放置在處理腔室中的基板支撐件上,同時在處理腔室中維持適合的處理條件。在一個實例中,快速熱處理(RTP)腔室可用以利用佈置於基板下方的燈加熱基板。基板使用從燈發射的電磁能量,而可快速地加熱至在600°C至1300°C的溫度範圍之中的提升的溫度。在處理期間,基板藉由例如邊緣環的支撐結構支撐,支撐結構佈置在基板的周圍,且支撐基板的周圍。邊緣環進一步藉由例如支撐環的另一支撐結構支撐。
邊緣環及支撐環以可承受快速加熱及冷卻的無數次循環的材料構成。石英為用於支撐環結構的通常材料。基板的上方區域中往往使用對由基板發射的輻射十分靈敏的輻射偵測器,以決定基板的溫度。避免燈的輻射進入基板上方的區域能夠避免此輻射阻礙輻射偵測器的效能。因為石英對光及紅外能量為透明的,所以石英支撐環可以材料塗佈,使其對燈的輻射不透明。
諸如化學氣相沉積(CVD)的沉積製程通常用以形成塗層。然而,此等習用製程受到許多限制。舉例而言,待塗佈的環的尺寸受到用以形成塗層的沉積腔室的尺寸的限制。此外,環的選擇區域通常未塗佈。CVD製程牽涉在環上形成昂貴且耗時的遮罩,以避免塗層沉積在其遮蔽的部分上。
使用諸如CVD的習用方法形成的塗層亦遭受塗層黏著至下層表面的問題。CVD矽塗層在所形成的矽塗層反覆熱循環的部分之後開始分層及/或裂開。此分層及裂開在僅少次的熱循環之後便可開始。持續的分層及裂開最終導致以矽塗佈的石英支撐環無法使用。
因此,存在對於石英支撐環的改良的塗層的需求。
在一個態樣中,一種處理腔室包括主體,該主體具有側壁及底部。蓋耦合至主體,而在其中界定處理空間。輻射熱源佈置在處理空間中的底部上,用於在處理操作期間加熱基板。基板支撐件亦佈置於處理空間中。基板支撐件具有邊緣環、支撐環及耦合至支撐環的支撐筒。支撐環包括使用電漿噴塗沉積製程在其上形成的塗層。
在另一態樣中,基板支撐件包括環主體,該主體具有內部邊緣、外部邊緣、在內部邊緣及外部邊緣之間延伸的第一側、及在內部邊緣及外部邊緣之間延伸而相對於第一側的第二側。塗層佈置於環主體上。塗層藉由電漿噴塗沉積製程形成。
在另一態樣中,揭露一種在以石英製成的支撐環上形成塗層之方法。方法包括清潔支撐環、將支撐環定位在沉積區域,其中沉積區域為無塵室(clean room)、及使用電漿噴塗沉積製程施加塗層。
本揭露案關於用於熱處理腔室的支撐環。支撐環具有多晶矽塗層,用於限制藉由支撐環傳送的電磁輻射。多晶矽塗層使用電漿噴塗沉積製程形成。
第1圖描繪根據一個實施例之快速熱處理腔室100的簡化的等距視圖。處理腔室100包括腔室主體102,該主體具有壁108、底部110及蓋112,上述各者界定處理空間120。壁108包括通口148,以促進基板140相對於佈置於處理空間120中的基板支撐件104的進入及離開。通口148可耦合至傳送腔室(未圖示)或裝載閘腔室(未圖示),且可利用例如狹縫閥(未圖示)或另一隔絕裝置的閥門而選擇性地密封。
冷卻塊180耦合至蓋112。一或更多冷卻劑通道184形成於冷卻塊180中,且透過入口通口181A及出口通口181B與冷卻劑源182流體連通。冷卻塊180可以耐處理環境材料製成,例如不銹鋼、鋁、聚合物、或陶瓷材料等等。諸如水、乙二醇、氮氣(N2 )或氦氣(He)等等的流體流動通過冷卻劑通道184,以便將冷卻塊180維持於指定溫度下,或在指定溫度範圍之中。
輻射熱源106佈置於處理空間120中,且耦合至腔室底部110。輻射熱源106包括以外殼132、視窗114及複數個管子160形成的燈組件。各個管子160含有反射器及電磁(EM)輻射源,例如燈。此處,管子160以蜂巢狀佈置。然而,可利用管子160的其他佈置。輻射熱源106可進一步劃分成加熱區,例如EM輻射源的同心環,其中佈置於管子160中的EM輻射源控制成發射不同位準的EM輻射。
視窗114及外殼132將管子160與處理空間120隔絕。視窗114以對藉由輻射熱源106發射的EM輻射實質上透明的材料製成,此EM輻射用以加熱基板140。視窗114通常以石英製成,儘管亦可使用例如藍寶石的其他材料。提供舉升銷144而選擇性地接觸且支撐基板140,以促進基板140傳送進入或離開基板支撐件104。
基板支撐件104佈置於輻射熱源106及冷卻塊180之間。基板支撐件104包括支撐筒154、支撐環150及邊緣環152。支撐環150放置於支撐筒154上。邊緣環152放置於且嵌套在支撐環150上。邊緣環152具有基板支撐表面,用於接收基板140以進行處理。邊緣環152通常以石英、非晶二氧化矽或碳化矽形成,亦可使用其他材料。類似地,支撐環150通常以石英或非晶二氧化矽形成,而亦可使用其他材料。再者,支撐環150以多晶矽塗層塗佈。支撐環150及多晶矽塗層的細節參考第2圖及第3圖而論述。
定子組件118佈置在腔室主體102外部,且環繞腔室主體壁108。定子組件118磁性耦合至佈置於腔室主體102的處理空間120之中的基板支撐件104。基板支撐件104包括作用為轉子的磁性部分,因此形成磁性耦合以舉升及/或旋轉基板支撐件104。定子組件118適以使用耦合於其之間的磁性來旋轉及/或舉升/降低基板支撐件104。定子組件118中具有複數個電線圈(未圖示)。在操作期間,定子組件118以界定的間隔施加一序列電流至線圈。在線圈之中的電流建立一連串磁場,此磁場耦合至基板支撐件104的磁性部分。電流以序列方式施加至線圈,使得其中形成的磁場吸引基板支撐件104的磁性部分,且偏轉基板支撐件104以圍繞直立軸旋轉。
大氣控制系統164亦耦合至腔室主體102的處理空間120。大氣控制系統164可包括閥門及/或真空幫浦,用於控制腔室壓力。大氣控制系統164亦可包括氣源,用於提供處理氣體或其他類型的氣體至處理空間120。
處理腔室100亦可包括一或更多個感測器117,該等感測器配置成偵測EM輻射。偵測到的EM輻射的特性,例如藉由感測器117量測的波長或密度,藉由控制器124使用,以在處理之前、期間及/或之後推論性決定基板140的溫度。此處,感測器117穿過蓋112佈置,而亦可使用腔室主體102之中及周圍的其他位置而佈置。舉例而言,感測器117為高溫計。感測器117可以界定感測區域的圖案佈置,此區域對應至界定用於輻射熱源106的加熱區。舉例而言,感測器117的陣列可以徑向配置而耦合至蓋112,以界定整個基板140的感測區域,該等區域佈置為同心環。
在操作期間,基板140定位在處理腔室100中,且在基板支撐件104上。輻射熱源106將基板140加熱至所欲的處理溫度,同時基板支撐件104藉由定子組件118旋轉,因此圍繞其中心旋轉基板140。氣體流至腔室中以在基板140上沉積新的層或對先前沉積的層改質。在完成處理操作之後,基板140可在處理腔室100之中經受另一處理操作。在完成基板140的處理之後,從處理腔室100移除基板140。
第2圖為根據一個實施例之支撐環250的剖面圖。支撐環250可在快速熱處理腔室100中使用。支撐環250為環狀構件,其具有藉由內部表面202及外部表面204界定的環主體210。內部表面202及外部表面204圍繞支撐環250的中心軸230為同心的。環主體210亦具有第一側206及第二側208,此等第一側206及第二側208在第一環狀肩部214及第二環狀肩部212之間延伸且耦合至第一環狀肩部214及第二環狀肩部212。
第一環狀肩部214形成於環主體210上的第一側206,且自第一側起,於第一側206及內部表面202之間的接點處延伸。第一環狀肩部214以向上代表性的方向(即,離開第二側208),從實質上藉由環主體210的第一側206界定的平面延伸出去。在一個實施例中,第一環狀肩部214以實質上垂直的方向從第一側206延伸出去。第一環狀肩部214與在匹配支撐構件(未圖示,例如第1圖的邊緣環152)上的互補突起接合。
第二環狀肩部212形成於環主體210上的第二側208,且自第二側起,於第二側208及外部表面204之間的接點處延伸。此處,第二環狀肩部212以向下代表性的方向且相對於第一環狀肩部214延伸的方向,從實質上藉由環主體210的第二側208界定的平面延伸出去。第二環狀肩部212與第三支撐構件(未圖示,例如第1圖的支撐筒154)接合。因此,環狀肩部212、214對支撐環250提供相對於邊緣環152及支撐筒154的穩固定位。
支撐環250的環主體210由例如石英、碳化矽、氧化矽或陶瓷等等的材料形成。此等材料用以形成具有其所欲材料特性的環主體210,例如對基板的熱處理的高溫及/或熱膨脹特徵所致降級的耐抗性。然而,此等材料亦對用於熱處理的EM輻射為透射的。在處理期間,意圖限制或甚至避免EM輻射傳送通過支撐環250,以便改善藉由用以決定基板的溫度的感測器對輻射的偵測,例如第1圖的感測器117。塗層302佈置在第一側206上,以便使得支撐環250部分或全部對EM輻射的某些或甚至所有波長為不透明的,以下關於第3圖進一步說明。
第3圖為具有塗層302的支撐環250的放大部分的部分剖面圖。在一個實施例中,塗層302以多結晶矽(多晶矽)材料形成。塗層302具有介於約10微米及約200微米之間的厚度300,例如介於約10微米及約150微米。舉例而言,塗層具有介於約20微米及約120微米之間的厚度,例如介於約50微米及約100微米之間。此處,塗層302由三層形成,包括第一層304、第二層306及第三層308。各層304、306、308由電漿噴塗沉積製程的個別循環(即,「經過」)以相同的材料形成。再者,各層304、306、308的厚度實質上相等。然而,應考量塗層302可由單一層形成,或任何其他數量的層形成,例如兩層、四層或五層。仍進一步,多個塗層可形成於支撐環250上。亦考量各個塗層及/或層可由不同的材料或材料的組合形成,且各個塗層及/或層可具有不同的厚度。
在一個實施例中,塗層302均勻地形成在環主體210的整個表面上。在另一實施例中,塗層302形成於環主體210的選擇性位置上。舉例而言,塗層302可佈置於第一側206上、第二側208上、或第一側206及第二側208兩者上。在一個實施例中,塗層302整體具有均勻厚度。舉例而言,塗層302具有在正負20%以內的厚度變化,例如在正負15%以內的厚度變化,例如在正負10%以內的厚度變化,例如在正負5%以內的厚度變化。在一個實施例中,塗層302具有在正負40微米以內的厚度變化,例如在正負30微米以內的厚度變化,例如在正負20微米以內的厚度變化,例如在正負100微米以內的厚度變化,例如在正負5微米以內的厚度變化。在另一實施例中,塗層302整體具有非均勻厚度。舉例而言,塗層302可在內部區域具有第一均勻厚度且在外部區域具有不同於第一均勻厚度的第二均勻厚度。在另一實施例中,塗層302從內部表面處的第一端(例如內部表面202)至徑向向外表面處的第二端(例如外部表面204)具有線性增加的厚度。
塗層302針對例如折射率或不透明度的所欲的光學特性而配置,用於減少或避免發射的EM輻射的傳送。舉例而言,塗層302的厚度及材料可經選擇以避免傳送在感測器(例如輻射偵測器)的操作範圍中EM輻射的波長。在一個實施例中,塗層302具有四或更大的光密度。
塗層302使用電漿噴塗沉積方法形成。在示例性方法中,首先清潔例如環主體210的部分。此部分接著供應至例如無塵室或通風櫥的沉積區域,其中控制污染顆粒以避免其沉積至已清潔的部分上。接著使用電漿噴塗沉積製程施加塗層至該部分上。在一個實施例中,塗層以約垂直於待塗佈的表面的噴塗角度施加,例如與垂直於待塗佈的表面的軸相差10度以內的角度。舉例而言,塗層可以與垂直於待塗佈的表面的軸相差5度以內的噴塗角度施加。在沉積期間,沉積區域維持在大氣壓力及溫度下。用以形成塗層的粉末的粒度經控制。沉積的塗層的粒度影響沉積的層的光學特性。塗層材料為多晶矽。
電漿噴塗沉積方法改善塗層302及環主體210之間的黏著性。再者,待塗佈的環主體210的尺寸並未受到電漿噴塗沉積方法的尺寸限制,因為沉積可在沉積腔室外部執行。仍進一步,塗佈的支撐環250的製造費用顯著降低,因為電漿噴塗沉積方法可在大氣壓力及溫度下實行。在遮罩應用中,當環主體210的部分需維持未塗佈時,則電漿噴塗沉積方法允許使用具成本效益的遮罩技術,例如施加鐵氟龍膠帶至部分的表面上,其中此部分並非意圖形成塗層,此舉歸因於所使用的大氣條件,而消除昂貴的遮罩夾具或類似者的利用。藉由使用此處所述的電漿噴塗方法,塗層302在石英支撐環及其上形成的塗層的層之間提供有良好的界面黏著性、塗層材料中較少的金屬污染物、及高光密度以減少或避免電磁輻射的傳送。
儘管上文針對本揭露案的實施例,可衍生本揭露案的其他及更多實施例而不悖離其基本範疇,且本揭露案之範疇藉由以下申請專利範圍來決定。
100‧‧‧快速熱處理腔室 102‧‧‧腔室主體 104‧‧‧基板支撐件 106‧‧‧輻射熱源 108‧‧‧壁 112‧‧‧蓋 114‧‧‧視窗 117‧‧‧感測器 118‧‧‧定子組件 120‧‧‧處理空間 124‧‧‧控制器 132‧‧‧外殼 140‧‧‧基板 144‧‧‧舉升銷 148‧‧‧通口 150‧‧‧支撐環 152‧‧‧邊緣環 154‧‧‧支撐筒 160‧‧‧管子 164‧‧‧控制系統 180‧‧‧冷卻塊 181A‧‧‧入口通口 181B‧‧‧出口通口 182‧‧‧冷卻劑源 184‧‧‧冷卻劑通道 202‧‧‧內部表面 204‧‧‧外部表面 210‧‧‧環主體 212‧‧‧第二環狀肩部 214‧‧‧第一環狀肩部 230‧‧‧中心軸 250‧‧‧支撐環 300‧‧‧厚度 302‧‧‧塗層 304‧‧‧第一層 306‧‧‧第二層 308‧‧‧第三層
為了使本揭露案以上所載的特徵得以詳細理解,可藉由參考實施例而理解以上簡要概述的本揭露案的更具體說明,某些實施例圖示於隨附圖式中。然而,應理解隨附圖式僅圖示示例性實施例,且因此不應考量為對其範疇之限制,且可認可其他均等效果的實施例。
第1圖為根據本揭露案的一個實施例之快速熱處理腔室的簡化的等距視圖。
第2圖為根據本揭露案的一個實施例之支撐環的部分剖面圖。
第3圖為對第2圖的支撐環的放大部分的部分剖面圖。
為了促進理解,已儘可能地使用相同的元件符號代表圖式中共用的相同元件。應考量一個實施例的元件及特徵可有益地併入其他實施例中而無須進一步說明。
國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無
國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無
202‧‧‧內部表面
204‧‧‧外部表面
210‧‧‧環主體
212‧‧‧第二環狀肩部
214‧‧‧第一環狀肩部
230‧‧‧中心軸
250‧‧‧支撐環
302‧‧‧塗層

Claims (11)

  1. 一種用於處理一基板之腔室,包含:一主體,具有一側壁及一底部;一蓋,耦合至該主體,而在其中界定一處理空間;一輻射熱源,耦合至該底部;及一基板支撐件,佈置於該處理空間中,該基板支撐件包含:一邊緣環;一支撐筒;一支撐環,耦合至該支撐筒且配置成支撐該邊緣環,該支撐環具有一石英環主體,該石英環主體包含:一內部邊緣,該內部邊緣至少部分地由該石英環主體的一第一環狀肩部形成;一外部邊緣,該外部邊緣至少部分地由該石英環主體的一第二環狀肩部形成;一第一側,在該內部邊緣與該外部邊緣之間延伸;及一第二側,在該內部邊緣與該外部邊緣之間延伸且相對於該第一側,該第二側未被塗佈;一第一塗層,選擇性地安置在該石英環主體的該第一側上方從該第一環狀肩部至該外部邊緣,該第 一塗層具有約50微米和約100微米之間的一均勻厚度,該第一塗層整體帶有在正負5%之間的一厚度變化,該第一塗層包含具有至少4的一光密度的多晶矽,其中該第一塗層藉由一電漿噴塗沉積製程形成;及一第二塗層,安置在該第一塗層上,該第二塗層由與該第一塗層不同的一材料形成且具有與該第一塗層不同的一厚度。
  2. 如請求項1所述之腔室,其中該第一塗層為一多晶矽塗層。
  3. 如請求項1所述之腔室,其中該第一塗層包含一個以上層。
  4. 如請求項1所述之腔室,其中該輻射熱源包含複數個燈。
  5. 如請求項1所述之腔室,進一步包含耦合至該蓋的一或更多輻射感測器。
  6. 一種基板支撐件,包含:一支撐環,具有一石英環主體,該石英環主體包含:一內部邊緣,該內部邊緣至少部分地由該石英環主體的一第一環狀肩部形成;一外部邊緣,該外部邊緣至少部分地由該石英環主體的一第二環狀肩部形成;一第一側,該第一側在該內部邊緣及該外部邊 緣之間延伸;及一第二側,該第二側在該內部邊緣及該外部邊緣之間延伸,相對於該第一側,該第二側未被塗佈;一第一塗層,選擇性地安置在該石英環主體的該第一側上方從該第一環狀肩部至該外部邊緣,該第一塗層具有約50微米和約100微米之間的一均勻厚度,該第一塗層整體帶有在正負5%之間的一厚度變化,該第一塗層包含具有至少4的一光密度的多晶矽,其中該第一塗層藉由一電漿噴塗沉積製程形成;及一第二塗層,安置在該第一塗層上,該第二塗層由與該第一塗層不同的一材料形成且具有與該第一塗層不同的一厚度。
  7. 如請求項6所述之基板支撐件,其中該第一塗層包含一個以上層,每一層由來自該電漿噴塗沉積製程的一個別循環的相同材料形成。
  8. 如請求項6所述之基板支撐件,其中該第一環狀肩部從該第一側延伸,及該第二環狀肩部從該第二側延伸,該等第一及第二環狀肩部彼此以相反的方向延伸。
  9. 一種基板支撐件,包含:一支撐環,具有一石英環主體,該石英環主體包含:一內部邊緣,該內部邊緣至少部分地由該石英 環主體的一第一環狀肩部形成;一外部邊緣,該外部邊緣至少部分地由該石英環主體的一第二環狀肩部形成;一第一側,該第一側在該內部邊緣及該外部邊緣之間延伸;及一第二側,該第二側在該內部邊緣及該外部邊緣之間延伸,相對於該第一側,該第二側未被塗佈;一第一塗層,選擇性地安置在該石英環主體的該第一側上方從該第一環狀肩部至該外部邊緣,該第一塗層具有約10微米和約200微米之間的一均勻厚度,該第一塗層整體帶有在正負20%之間的一厚度變化,該第一塗層包含具有至少4的一光密度的多晶矽,其中該第一塗層藉由一電漿噴塗沉積製程形成;及一第二塗層,安置在該第一塗層上,該第二塗層由與該第一塗層不同的一材料形成且具有與該第一塗層不同的一厚度。
  10. 如請求項9所述之基板支撐件,其中該第一塗層包含一個以上層,每一層由來自該電漿噴塗沉積製程的一個別循環的相同材料形成。
  11. 如請求項9所述之基板支撐件,其中該第一環狀肩部從該第一側延伸,及該第二環狀肩部從該第二側延伸,該等第一及第二環狀肩部彼此以相反的 方向延伸。
TW108108416A 2018-03-13 2019-03-13 具有電漿噴塗塗層之支撐環 TWI805708B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201862642353P 2018-03-13 2018-03-13
US62/642,353 2018-03-13

Publications (2)

Publication Number Publication Date
TW201946187A TW201946187A (zh) 2019-12-01
TWI805708B true TWI805708B (zh) 2023-06-21

Family

ID=67904624

Family Applications (2)

Application Number Title Priority Date Filing Date
TW112121178A TW202341327A (zh) 2018-03-13 2019-03-13 具有電漿噴塗塗層之支撐環
TW108108416A TWI805708B (zh) 2018-03-13 2019-03-13 具有電漿噴塗塗層之支撐環

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW112121178A TW202341327A (zh) 2018-03-13 2019-03-13 具有電漿噴塗塗層之支撐環

Country Status (5)

Country Link
US (2) US11594445B2 (zh)
KR (1) KR20200121380A (zh)
CN (1) CN111819679A (zh)
TW (2) TW202341327A (zh)
WO (1) WO2019177837A1 (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7379993B2 (ja) * 2019-09-20 2023-11-15 東京エレクトロン株式会社 エッチング装置及びエッチング方法
WO2022055813A1 (en) * 2020-09-10 2022-03-17 Lam Research Corporation Spinel coating for plasma processing chamber components
US20220349088A1 (en) * 2021-04-28 2022-11-03 Applied Materials, Inc. In-situ film growth rate monitoring apparatus, systems, and methods for substrate processing

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102210017A (zh) * 2008-11-06 2011-10-05 应用材料股份有限公司 含有微定位系统的快速热处理腔室
TW201306144A (zh) * 2011-07-29 2013-02-01 Applied Materials Inc 用於改良浸透效能之具塗層的基材支撐邊緣環
US20140265101A1 (en) * 2013-03-14 2014-09-18 Applied Materials, Inc. Minimal contact edge ring for rapid thermal processing
TW201532183A (zh) * 2013-12-31 2015-08-16 Applied Materials Inc 具有遮罩邊緣的支撐環
TW201800596A (zh) * 2016-03-03 2018-01-01 蘭姆研究公司 包含具有高純度sp3 鍵之化學氣相沉積鑽石塗層的電漿處理系統用之邊緣環等元件

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6179466B1 (en) 1994-12-19 2001-01-30 Applied Materials, Inc. Method and apparatus for measuring substrate temperatures
US6110025A (en) 1997-05-07 2000-08-29 Obsidian, Inc. Containment ring for substrate carrier apparatus
US6200388B1 (en) * 1998-02-11 2001-03-13 Applied Materials, Inc. Substrate support for a thermal processing chamber
US6264467B1 (en) 1999-04-14 2001-07-24 Applied Materials, Inc. Micro grooved support surface for reducing substrate wear and slip formation
US6589352B1 (en) 1999-12-10 2003-07-08 Applied Materials, Inc. Self aligning non contact shadow ring process kit
US7252738B2 (en) 2002-09-20 2007-08-07 Lam Research Corporation Apparatus for reducing polymer deposition on a substrate and substrate support
US7241345B2 (en) * 2003-06-16 2007-07-10 Applied Materials, Inc. Cylinder for thermal processing chamber
US7713841B2 (en) 2003-09-19 2010-05-11 Micron Technology, Inc. Methods for thinning semiconductor substrates that employ support structures formed on the substrates
US7670436B2 (en) 2004-11-03 2010-03-02 Applied Materials, Inc. Support ring assembly
US8057602B2 (en) 2007-05-09 2011-11-15 Applied Materials, Inc. Apparatus and method for supporting, positioning and rotating a substrate in a processing chamber
JP2009054984A (ja) 2007-08-01 2009-03-12 Tosoh Corp 成膜装置部品及びその製造方法
JP5482282B2 (ja) * 2009-03-03 2014-05-07 東京エレクトロン株式会社 載置台構造及び成膜装置
US8865602B2 (en) * 2012-09-28 2014-10-21 Applied Materials, Inc. Edge ring lip
US9403251B2 (en) * 2012-10-17 2016-08-02 Applied Materials, Inc. Minimal contact edge ring for rapid thermal processing
US9385004B2 (en) * 2013-08-15 2016-07-05 Applied Materials, Inc. Support cylinder for thermal processing chamber
US10468235B2 (en) 2013-09-18 2019-11-05 Applied Materials, Inc. Plasma spray coating enhancement using plasma flame heat treatment
WO2015069456A1 (en) * 2013-11-06 2015-05-14 Applied Materials, Inc. Sol gel coated support ring
JP6727191B2 (ja) * 2014-08-25 2020-07-22 ゼネラル・エレクトリック・カンパニイ 高温供用物品
US10482305B1 (en) * 2016-01-06 2019-11-19 Apple Inc. Electronic devices with thin-film masking layers
US20230295789A1 (en) * 2022-03-15 2023-09-21 Applied Materials, Inc. Dense vertically segmented silicon coating for low defectivity in high-temperature rapid thermal processing

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102210017A (zh) * 2008-11-06 2011-10-05 应用材料股份有限公司 含有微定位系统的快速热处理腔室
TW201306144A (zh) * 2011-07-29 2013-02-01 Applied Materials Inc 用於改良浸透效能之具塗層的基材支撐邊緣環
US20140265101A1 (en) * 2013-03-14 2014-09-18 Applied Materials, Inc. Minimal contact edge ring for rapid thermal processing
TW201532183A (zh) * 2013-12-31 2015-08-16 Applied Materials Inc 具有遮罩邊緣的支撐環
TW201800596A (zh) * 2016-03-03 2018-01-01 蘭姆研究公司 包含具有高純度sp3 鍵之化學氣相沉積鑽石塗層的電漿處理系統用之邊緣環等元件

Also Published As

Publication number Publication date
US11594445B2 (en) 2023-02-28
US20190287845A1 (en) 2019-09-19
TW201946187A (zh) 2019-12-01
KR20200121380A (ko) 2020-10-23
US20230187262A1 (en) 2023-06-15
CN111819679A (zh) 2020-10-23
TW202341327A (zh) 2023-10-16
WO2019177837A1 (en) 2019-09-19

Similar Documents

Publication Publication Date Title
US20230187262A1 (en) Support ring with plasma spray coating
US20200241580A1 (en) Multi-channel flow ratio controller and processing chamber
TWI805498B (zh) 用於半導體製程腔室的表面塗層的襯套組件
KR100509085B1 (ko) 열 처리 시스템
US11495479B2 (en) Light pipe window structure for thermal chamber applications and processes
KR102189785B1 (ko) 고온 가스 분배 어셈블리
US10508333B2 (en) Heating apparatus and substrate processing apparatus having the same
US10727093B2 (en) Light pipe window structure for low pressure thermal processes
JP2023025016A (ja) サセプタ支持体
US11236424B2 (en) Process kit for improving edge film thickness uniformity on a substrate
US20160215393A1 (en) Susceptor design to eliminate deposition valleys in the wafer
TW202113979A (zh) 邊緣環以及具有其之熱處理設備
TW201826357A (zh) 反射性襯墊
TWI644362B (zh) 用於熱腔室應用及製程的光管窗口結構