TWI803618B - 氣體供給管之清潔方法及處理系統 - Google Patents

氣體供給管之清潔方法及處理系統 Download PDF

Info

Publication number
TWI803618B
TWI803618B TW108113149A TW108113149A TWI803618B TW I803618 B TWI803618 B TW I803618B TW 108113149 A TW108113149 A TW 108113149A TW 108113149 A TW108113149 A TW 108113149A TW I803618 B TWI803618 B TW I803618B
Authority
TW
Taiwan
Prior art keywords
gas
compound
gas supply
mentioned
film
Prior art date
Application number
TW108113149A
Other languages
English (en)
Other versions
TW201945095A (zh
Inventor
松田梨沙子
Original Assignee
日商東京威力科創股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商東京威力科創股份有限公司 filed Critical 日商東京威力科創股份有限公司
Publication of TW201945095A publication Critical patent/TW201945095A/zh
Application granted granted Critical
Publication of TWI803618B publication Critical patent/TWI803618B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0014Cleaning by methods not provided for in a single other subclass or a single group in this subclass by incorporation in a layer which is removed with the contaminants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B9/00Cleaning hollow articles by methods or apparatus specially adapted thereto 
    • B08B9/02Cleaning pipes or tubes or systems of pipes or tubes
    • B08B9/027Cleaning the internal surfaces; Removal of blockages
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0245Pretreatment of the material to be coated by cleaning or etching by etching with a plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/042Coating on selected surface areas, e.g. using masks using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45568Porous nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/513Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using plasma jets
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • H01J37/32495Means for protecting the vessel against plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B2205/00Details of machines or methods for cleaning by the use of gas or air flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3341Reactive etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Analytical Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Public Health (AREA)
  • Epidemiology (AREA)
  • Health & Medical Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Manufacturing Of Electric Cables (AREA)

Abstract

本發明抑制連接於氣體供給管之流量控制器之精度降低,並且防止被處理體被顆粒污染。 氣體供給管之清潔方法包括皮膜形成製程及去除製程。於皮膜形成製程中,對氣體供給管內供給包含第1化合物之第1氣體及包含第2化合物之第2氣體,藉由將氣體供給管之溫度控制為第1溫度,而於氣體供給管之內壁藉由第1化合物與第2化合物之聚合而形成化合物之皮膜。第1溫度係第1化合物與第2化合物聚合之溫度。於去除製程中,於藉由經由形成有皮膜之氣體供給管供給至處理腔室內之處理氣體而在腔室內處理被處理體之後,藉由將氣體供給管之溫度控制為皮膜解聚之第2溫度,而將皮膜去除。又,第1化合物為異氰酸酯,第2化合物為胺或具有羥基之化合物。

Description

氣體供給管之清潔方法及處理系統
本發明之各種態樣及實施形態係關於一種氣體供給管之清潔方法及處理系統。
於半導體裝置等之製造中,使用處理氣體對半導體晶圓(以下,記載為晶圓)進行蝕刻或成膜等處理。處理氣體之流量大幅度影響處理後之晶圓之特性,故而對控制處理氣體之流量之流量控制器要求較高之精度。因此,流量控制器定期地被校正。流量控制器例如使用將處理氣體供給至處理腔室之氣體供給管之容積來校正。
又,存在蝕刻或成膜等處理使用含有鹵素之氣體等腐蝕性較高之氣體之情形。於該情形時,存在藉由處理氣體而氣體供給管之內壁損傷之情形。為了防止該情況,已知有藉由具有對含有鹵素之處理氣體之耐性之材料,將氣體供給管之內壁塗佈之技術(例如,參照下述專利文獻1)。 [先前技術文獻] [專利文獻]
[專利文獻1]日本專利第5855644號公報
[發明所欲解決之問題]
然,有時根據處理氣體之種類,而與存在於氣體供給管內之水分或殘留氣體反應,於氣體供給管內生成固體。例如,若於氣體供給管內存在NH3 氣體與Cl2 氣體,則有時於氣體供給管內生成NH4 Cl。此種固體亦生成於連接在氣體供給管之流量控制器或閥等之內部。若於氣體供給管等生成固體,則有時流量控制器對處理氣體之流量之控制量產生誤差。
例如,於藉由以氣體供給管之容積為基準之增層法而校正流量控制器之情形時,有時藉由氣體供給管之容積變化而流量之控制量有偏差。又,基於孔口之前後之壓力差控制流量之流量控制器中,有時藉由所生成之固體而孔口之開口變窄,流量之控制量有偏差。又,於熱式流量控制器中,有時由於藉由附著於配管內之固體而配管之熱分佈變化,故而流量之控制量有偏差。
又,有時生成於氣體供給管內之固體成長,不久成為顆粒並於氣體供給管內流動,附著於閥等設備,導致設備之誤動作。又,有時於氣體供給管內流動之顆粒進入至處理腔室內,附著於晶圓成為不良之原因。 [解決問題之技術手段]
本發明之一態樣係氣體供給管之清潔方法,包括皮膜形成製程及去除製程。於皮膜形成製程中,於在氣體供給管內被供給有包含第1化合物之第1氣體及包含第2化合物之第2氣體之狀態下,藉由將氣體供給管之溫度控制為第1溫度,而於氣體供給管之內壁藉由第1化合物與第2化合物之聚合而形成化合物之皮膜。第1溫度係第1化合物與第2化合物聚合之溫度。於去除製程中,藉由經由形成有皮膜之氣體供給管供給至處理腔室內之處理氣體,而對腔室內之被處理體進行特定之處理之後,藉由將氣體供給管之溫度控制為皮膜解聚之第2溫度,而將皮膜去除。又,第1化合物為異氰酸酯,第2化合物為胺或具有羥基之化合物。 [發明之效果]
根據本發明之各種態樣及實施形態,可抑制連接於氣體供給管之流量控制器之精度降低,並且可防止被處理體因顆粒而污染。
以下,基於圖式對所揭示之氣體供給管之清潔方法及處理系統之實施形態詳細地進行說明。再者,並不藉由以下之實施形態而限定所揭示之氣體供給管之清潔方法及處理系統。
[處理系統1之構成] 圖1係表示本發明之一實施形態中之處理系統1之一例的系統構成圖。處理系統1例如如圖1所示,具備電漿處理裝置10、控制裝置11、及配管系統12。配管系統12具有複數個流量控制器FC1~FCn(n為2以上之整數)、複數個閥V11~V1n、複數個閥V21~V22、及閥V3。再者,以下,於不將複數個流量控制器FC1~FCn之各者區分而統稱之情形時記載為流量控制器FC,於不將複數個閥V11~V1n之各者區分而統稱之情形時記載為閥V1。又,於不將配管系統12內之配管區分而統稱之情形時記載為配管L。配管系統12係氣體供給管之一例。
於各流量控制器FC之輸入端,經由閥V6及配管L4而連接有氣體源。於圖1之例中,於流量控制器FC1~FCn-2,分別經由閥V6及配管L4而連接有氣體源GS。於流量控制器FCn-1,經由閥V6及配管L4而連接有氣體源GSC1,於流量控制器FCn,經由閥V6及配管L4而連接有氣體源GSC2。各配管L4係經由配管L5而連接於閥V5之輸入端。各閥V5之輸出端經由配管L6而連接於氣體源GSP。
氣體源GSP供給例如氮氣等沖洗氣體。各氣體源GS供給於電漿處理裝置10內對晶圓W進行蝕刻等處理時所使用之處理氣體。處理氣體例如包括包含有機金屬化合物之胺基矽烷系氣體、氟碳系氣體、具有氧原子及碳原子之氣體(例如二氧化碳氣體等)、氮氣、含氫氣體、及稀有氣體等。氟碳系氣體可表示為例如CxFy氣體(x、y為1~10之整數)。作為氟碳系氣體,例如使用CF4 氣體、C4 F6 氣體、或C4 F8 氣體等。作為稀有氣體,例如使用Ar氣體或He氣體等。
氣體源GSC1供給包含第1化合物之第1氣體。氣體源GSC2供給包含第2化合物之第2氣體。第1化合物係原料單體,為異氰酸酯。第2化合物係原料單體,為胺或具有羥基之化合物。氣體源GSC1係第1氣體源之一例,氣體源GSC2係第2氣體源之一例。
於本實施形態中,對配管系統12內供給第1氣體與第2氣體。而且,將配管系統12之溫度控制為第1化合物與第2化合物產生聚合反應之溫度。藉此,於配管系統12內之配管L、流量控制器FC、及閥V等之內壁,形成有機化合物之皮膜。於第2化合物為胺之情形時,構成皮膜之化合物可為具有脲鍵之聚合物或低聚物。於第2化合物係具有羥基之化合物之情形時,構成皮膜之化合物可為具有胺基甲酸酯鍵之聚合物或低聚物。
第1化合物與第2化合物之聚合係於較產生構成皮膜之化合物之解聚之溫度低的溫度產生。第1化合物與第2化合物之聚合例如於0℃以上、150℃以下之溫度範圍內產生。
以下,例示第1化合物、第2化合物、及構成皮膜之化合物。作為第1化合物,例示以下之式(1)所示之一官能性異氰酸酯及式(2)所示之二官能性異氰酸酯。於式(1)及式(2)中,R係烷基(直鏈狀烷基或環狀烷基)或芳基。至於作為第1化合物之異氰酸酯,例如可使用脂肪族化合物或芳香族化合物。作為脂肪族化合物,可使用脂肪族鏈式化合物或脂肪族環式化合物。作為脂肪族化合物,例如可列舉六亞甲基二異氰酸酯。又,作為脂肪族環式化合物,例如可列舉1,3-雙(異氰酸基甲基)環己烷(H6XDI)。 [化1]
Figure 02_image001
[化2]
Figure 02_image003
又,作為第2化合物,例示以下之式(3)所示之一官能性胺及式(4)所示之二官能性胺。於式(3)及式(4)中,R係烷基(直鏈狀烷基或環狀烷基)或芳基。再者,於式(1)及式(2)中由R所示之原子團且與第2化合物聚合之第1化合物之該原子團可與於式(3)及式(4)中由R所示之原子團且與第1化合物聚合之第2化合物之該原子團相同,亦可不同。至於作為第2化合物之胺,例如可使用脂肪族化合物或芳香族化合物。作為脂肪族化合物,可使用脂肪族鏈式化合物或脂肪族環式化合物。作為脂肪族化合物,例如可列舉1,12-二胺基十二烷(DAD)。作為脂肪族環式化合物,可列舉1,3-雙(胺基甲基)環己烷(H6XDA)。再者,作為第2化合物之胺亦可為二級胺。 [化3]
Figure 02_image005
[化4]
Figure 02_image007
又,作為第2化合物,例示以下之式(5)所示之具有羥基之一官能性化合物及式(6)所示之具有羥基之二官能性化合物。於式(5)及式(6)中,R為烷基(直鏈狀烷基或環狀烷基)或芳基。再者,於式(1)及式(2)中由R所示之原子團且與第2化合物聚合之第1化合物之該原子團可與於式(5)及式(6)中由R所示之原子團且與第1化合物聚合之第2化合物之該原子團相同,亦可不同。具有羥基之化合物係醇或酚。至於作為第2化合物之醇,例如可列舉二乙二醇、1,2-環己二醇。又,至於作為第2化合物之酚,例如可列舉對苯二酚、1,2,4-三羥基苯。 [化5]
Figure 02_image009
[化6]
Figure 02_image011
作為構成皮膜之化合物,可列舉以下之式(7)~式(10)所示之具有脲鍵之化合物。式(7)所示之化合物係藉由式(1)所示之化合物與式(3)所示之化合物之聚合而生成。式(8)所示之化合物係藉由式(1)所示之化合物與式(4)所示之化合物之聚合而生成。或者,式(8)所示之化合物係藉由式(2)所示之化合物與式(3)所示之化合物之聚合而生成。式(9)所示之化合物係藉由式(2)所示之化合物與式(4)所示之化合物之聚合而生成。又,式(10)所示之化合物具有使式(9)所示之聚合物之兩末端分別以具有異氰酸基之單體(例如式(1)所示之化合物)、具有胺基之單體(例如式(3)所示之化合物)終結之結構。再者,於式(9)及式(10)中,n為2以上之整數。 [化7]
Figure 02_image013
[化8]
Figure 02_image015
[化9]
Figure 02_image017
[化10]
Figure 02_image019
又,作為構成皮膜之其他化合物,可列舉以下之式(11)~式(15)所示之具有胺基甲酸酯鍵之化合物。式(11)所示之化合物係藉由式(1)所示之化合物與式(5)所示之化合物之聚合而生成。式(12)所示之化合物係藉由式(1)所示之化合物與式(6)所示之化合物之聚合而生成。式(13)所示之化合物係藉由式(2)所示之化合物與式(5)所示之化合物之聚合而生成。式(14)所示之化合物係藉由式(2)所示之化合物與式(6)所示之化合物之聚合而生成。又,式(15)所示之化合物具有使式(14)所示之聚合物之兩末端分別以具有異氰酸基之單體(例如式(1)所示之化合物)、具有羥基之單體(例如式(5)所示之化合物)終結之結構。再者,於式(14)及式(15)中,n為2以上之整數。 [化11]
Figure 02_image021
[化12]
Figure 02_image023
[化13]
Figure 02_image025
[化14]
Figure 02_image027
[化15]
Figure 02_image029
以下之式(16)~(26)例示於式(2)、式(4)、及式(6)中由R所示之原子團之結構。於式(16)~(26)中,R1為異氰酸基、胺基、或羥基。如式(16)~式(22)所示,第1化合物及第2化合物之各者亦可具有苯環作為由R所示之原子團。式(16)~式(19)所示之化合物之各者於異氰酸基、胺基、或羥基與苯環之間不具有碳。式(20)~式(22)所示之化合物之各者於異氰酸基、胺基、或羥基與苯環之間具有碳。如式(23)及式(24)所示,第1化合物及第2化合物之各者亦可具有脂環烴作為由R所示之原子團。又,如式(25)及式(26)所示,於第1化合物及第2化合物之各者中,由R所示之原子團亦可藉由脂肪族而構成。 [化16]
Figure 02_image031
[化17]
Figure 02_image033
[化18]
Figure 02_image035
[化19]
Figure 02_image037
[化20]
Figure 02_image039
[化21]
Figure 02_image041
[化22]
Figure 02_image043
[化23]
Figure 02_image045
[化24]
Figure 02_image047
[化25]
Figure 02_image049
[化26]
Figure 02_image051
於各流量控制器FC之輸出端,分別經由配管L7連接有閥V1之輸入端。各閥V1之輸出端分別經由分支管L2連接於主管L1。於圖1之例中,n個流量控制器FC中第k個流量控制器FC之輸出端經由n個閥V1中第k個閥V1連接於主管L1。
配管L0包含主管L1、複數個分支管L2、及連接管L3。主管L1之下游側之端部連接於閥V21及V22之輸入端。閥V21之輸出端經由配管L8連接於電漿處理裝置10。即,主管L1之下游側之端部經由閥V21及配管L8連接於電漿處理裝置10。閥V22之輸出端經由配管L11,連接於將電漿處理裝置10與排氣裝置50連接之排氣管52。主管L1之上游側連接於複數個分支管L2。
連接管L3之一端連接於主管L1,連接管L3之另一端連接於閥V3之輸入端。再者,連接管L3之一端亦可連接於複數個分支管L2中之一個。閥V3之輸出端連接於配管L10之一端,於配管L10之另一端設置有接頭部18。於接頭部18連接有基準器40。基準器40具有槽42、壓力感測器44、溫度感測器46、配管L9、及閥V4。於槽42設置有配管L9,於配管L9設置有閥V4。又,於槽42連接有壓力感測器44及溫度感測器46。接頭部18可經由配管L9及閥V4將槽42連結。
於配管系統12,設置有檢測配管L0內之氣體之壓力之壓力感測器14、及檢測配管L0內之氣體之溫度之溫度感測器16。於本實施形態中,壓力感測器14及溫度感測器16設置於主管L1。再者,壓力感測器14及溫度感測器16亦可設置於連接管L3或複數個分支管L2中之一個分支管L2。
溫度控制裝置17根據來自控制裝置11之控制,對配管系統12之溫度進行控制。於氣體源GSP、各氣體源GS、氣體源GSC1、及氣體源GSC2之中,可包含藉由於室溫使液體之材料氣化而產生氣體之氣體源。溫度控制裝置17將配管系統12之溫度控制為各氣體可維持氣體之狀態之溫度。藉此,配管系統12係即便為於室溫為液體之材料,亦可使該材料於氣化之狀態下於配管系統12內流通。
控制裝置11具有處理器、記憶體、及輸入輸出介面。於記憶體儲存有藉由處理器而執行之程式、及包含各處理之條件等之製程配方。處理器執行自記憶體讀出之程式,基於記憶於記憶體內之製程配方,經由輸入輸出介面對電漿處理裝置10及配管系統12之各部進行控制。藉此,控制裝置11藉由自各氣體源供給至電漿處理裝置10內之氣體,而於電漿處理裝置10內對晶圓W執行蝕刻等特定之處理。又,控制裝置11執行將配管系統12中所包含之配管等之內壁所附著之反應副產物(積存物)去除的清潔。
[電漿處理裝置10之構成] 圖2係表示電漿處理裝置10之概略之一例之剖視圖。本實施形態中之電漿處理裝置10係具備平行平板之電極之電漿蝕刻裝置。電漿處理裝置10具備具有大致圓筒形狀之處理腔室20。處理腔室20例如由鋁等構成,且對其內壁面實施有陽極氧化處理。處理腔室20安全接地。
於處理腔室20之底部上,設置有由例如石英等絕緣材料構成之大致圓筒狀之支持部21。支持部21於處理腔室20內,自處理腔室20之底部向鉛垂方向延伸。於處理腔室20內,設置有載置台PD。載置台PD係由支持部21支持。
載置台PD係於載置台PD之上表面,保持作為被處理體之一例之晶圓W。載置台PD包含靜電吸盤ESC及下部電極LE。下部電極LE包含第1板22a及第2板22b。第1板22a及第2板22b例如藉由鋁等金屬而形成為大致圓盤狀。第2板22b設置於第1板22a上。第1板22a與第2板22b電性地連接。
於第2板22b上,設置有靜電吸盤ESC。靜電吸盤ESC具有將作為導電膜之電極配置於一對絕緣層之間或一對絕緣片材之間的結構。於靜電吸盤ESC之電極,經由開關SW電性地連接有直流電源23。靜電吸盤ESC利用藉由經由開關SW自直流電源23施加之直流電壓而產生之庫倫力等靜電力,於靜電吸盤ESC之上表面吸附晶圓W。藉此,靜電吸盤ESC可於靜電吸盤ESC之上表面保持晶圓W。
於第2板22b之周緣部上,以包圍晶圓W之邊緣及靜電吸盤ESC之方式設置有聚焦環FR。藉由聚焦環FR,蝕刻等對晶圓W之處理之均勻性提高。聚焦環FR包括根據處理對象之膜之材料而適當選擇之材料,例如可包括石英。
於第2板22b之內部,設置有用以使冷媒循環之流路24。對於流路24,自設置於處理腔室20之外部之冷卻器單元(省略圖示)經由配管26a供給冷媒。供給至流路24之冷媒經由配管26b返回至冷卻器單元。控制裝置11藉由對冷卻器單元進行控制而控制冷媒之溫度,而將第2板22b冷卻為特定之溫度。
又,於第2板22b之上部,設置有作為加熱元件之加熱器HT。於加熱器HT連接有加熱器電源HP。藉由自加熱器電源HP對加熱器HT供給電力,而將第2板22b加熱。藉由利用於流路24內循環之冷媒之冷卻與利用加熱器HT之加熱,經由靜電吸盤ESC,將靜電吸盤ESC上之晶圓W之溫度控制為特定溫度。再者,加熱器HT亦可設置於靜電吸盤ESC內。
又,於電漿處理裝置10,設置有供給例如He氣體等傳熱氣體之配管28。經由配管28供給之傳熱氣體被供給至靜電吸盤ESC之上表面與晶圓W之背面之間。控制裝置11藉由對供給至靜電吸盤ESC之上表面與晶圓W之背面之間的傳熱氣體之壓力進行控制,可控制靜電吸盤ESC與晶圓W之間之熱導率。
電漿處理裝置10具備上部電極30。上部電極30於載置台PD之上方,配置於與載置台PD對向之位置。下部電極LE與上部電極30相互大致平行地設置。上部電極30與下部電極LE之間係生成用以對晶圓W進行電漿處理之電漿之處理空間S。
上部電極30例如經由石英等絕緣構件32,支持於處理腔室20之上部。上部電極30包含電極板34及電極支持體36。電極板34例如藉由包含矽之材料而構成,電極板34之下表面面向處理空間S。於電極板34設置有複數個氣體噴出孔34a。
電極支持體36例如由鋁等導電性材料構成,裝卸自如地支持電極板34。電極支持體36亦可具有水冷結構。於電極支持體36之內部,設置有氣體擴散室36a。自氣體擴散室36a,與氣體噴出孔34a連通之複數個氣體流通孔36b向下方延伸。於電極支持體36,形成有將處理氣體引導至氣體擴散室36a之氣體導入口36c,於氣體導入口36c,連接有配管L8。配管L8經由閥V21連接於主管L1。
於處理腔室20內,沿著處理腔室20之內壁裝卸自如地設置有積存物遮罩54。積存物遮罩54亦設置於支持部21之外周。積存物遮罩54例如可藉由於鋁材被覆Y2 O3 等陶瓷而構成。
於處理腔室20之底部側且支持部21與處理腔室20之側壁之間設置有排氣板56。排氣板56例如可藉由於鋁材被覆Y2 O3 等陶瓷而構成。於處理腔室20內之排氣板56之下方,設置有排氣口20a。於排氣口20a,經由排氣管52連接有排氣裝置50。於排氣管52,經由配管L11連接有閥V22。排氣裝置50具有渦輪分子泵等真空泵,可將處理腔室20內之空間減壓至所期望之真空度。於處理腔室20之側壁設置有用以將晶圓W搬入或搬出之開口20b,開口20b能夠藉由閘閥58而開閉。
電漿處理裝置10具備第1高頻電源62及第2高頻電源64。第1高頻電源62係產生電漿生成用之第1高頻電力之電源,產生27~100 MHz之範圍內之頻率,於一例中為60 MHz之頻率之高頻電力。第1高頻電源62經由整合器66連接於上部電極30。整合器66係用以使第1高頻電源62之輸出阻抗與負載(上部電極30)側之輸入阻抗整合之電路。再者,第1高頻電源62亦可經由整合器66連接於下部電極LE。
第2高頻電源64係產生用以對晶圓W拉入離子之第2高頻電力即高頻偏壓電力之電源,產生400 kHz~13.56 MHz之範圍內之頻率,於一例中為13.56 MHz之頻率之高頻偏壓電力。第2高頻電源64經由整合器68連接於下部電極LE。整合器68係用以使第2高頻電源64之輸出阻抗與負載(下部電極LE)側之輸入阻抗整合之電路。
電漿處理裝置10具備電源70。電源70連接於上部電極30。電源70將用以將存在於處理空間S內之正離子拉入至電極板34之電壓施加至上部電極30。於一例中,電源70係產生負直流電壓之直流電源。藉由將此種電壓自電源70施加至上部電極30,而讓存在於處理空間S之正離子與電極板34碰撞。藉此,自電極板34釋放二次電子、矽原子、或其兩者。
排氣裝置50、第1高頻電源62、整合器66、第2高頻電源64、整合器68、電源70、加熱器電源HP、開關SW、及冷卻器單元等由控制裝置11控制。
[藉由處理系統1進行之處理] 圖3係表示藉由處理系統1執行之處理之一例之流程圖。
首先,執行於配管系統12中所包含之配管L、流量控制器FC、及閥V之內壁形成有機化合物之皮膜之皮膜形成處理(S10)。皮膜形成處理係皮膜形成製程之一例。
其次,使用於內壁形成有皮膜之配管L,進行各流量控制器FC之校準(S20)。於步驟S20中,例如測定配管L0內之容積。
其次,對搬入至電漿處理裝置10內之晶圓W執行蝕刻等電漿處理(S30)。藉由執行步驟S30,而於供處理氣體流通之配管L、流量控制器FC、及閥V之內壁所形成之皮膜附著積存物。步驟S30係處理製程之一例。
其次,控制裝置11判定是否滿足維護條件(S40)。所謂維護條件,例如係對特定數量之晶圓W執行電漿處理、以及電漿處理之累積時間達到特定時間等。
於不滿足維護條件之情形時(S40:否),控制裝置11判定是否結束對晶圓W之處理(S50)。於不結束對晶圓W之處理之情形時(S50:否),再次執行步驟S30所示之電漿處理。另一方面,於結束對晶圓W之處理之情形時(S50:是),結束圖3之流程圖所示之處理。再者,於結束對晶圓W之處理之情形時,亦可執行下述步驟S90之皮膜去除處理。
於滿足維護條件之情形時(S40:是),進行電漿處理裝置10內之清潔或電漿處理裝置10內之消耗零件之更換等維護(S60)。
其次,進行各流量控制器FC之校準(S70)。步驟S70中之校準係與步驟S20中之校準相同之處理。於步驟S70之校準中,例如亦測定配管L0內之容積。
其次,控制裝置11判定是否滿足配管L之清潔條件(S80)。步驟S80係判定製程之一例。配管L之清潔條件例如藉由是否滿足絕對性基準或相對性基準而判定。所謂絕對性基準,例如係自上次之配管L之清潔經過特定時間以上、或自上次之配管L之清潔已處理特定數量以上之晶圓W等。又,所謂相對性基準,例如係於步驟S20中測定出之配管L0之容量與於步驟S70中測定出之配管L0之容量的差成為特定值以上等。
於不滿足配管L之清潔條件之情形時(S80:否),再次執行步驟S30所示之電漿處理。另一方面,於滿足配管L之清潔條件之情形時(S80:是),執行將於步驟S10中在配管L等內壁所形成之皮膜去除之皮膜去除處理(S90)。藉此,與皮膜一起將附著於皮膜之積存物去除。然後,藉由再次執行步驟S10,而於配管L等之內面形成有機化合物之皮膜。皮膜去除處理係去除製程之一例。
[皮膜形成處理] 圖4係表示皮膜形成處理(S10)之一例之流程圖。於與圖4相關之以下之說明中,適當參照圖5及圖6。圖5及圖6係表示處理系統1之各閥之狀態之一例的圖。
於皮膜形成處理中,首先,藉由溫度控制裝置17,而將配管系統12之溫度控制為特定溫度TD (S100)。於本實施形態中,特定溫度TD 係第1氣體中所包含之第1化合物與第2氣體中所包含之第2化合物不聚合之溫度。例如,特定溫度TD 係藉由第1化合物與第2化合物之聚合而生成之化合物解聚之溫度。藉由第1化合物與第2化合物之聚合而生成之化合物例如於200℃以上、350℃以下之範圍內之溫度解聚。特定溫度TD 係第2溫度之一例。
然後,將特定之閥V控制為打開狀態(S101)。於步驟S101中,例如如圖5所示,將閥V11~V1n、閥V22、連接於氣體源GSC1之閥V6、及連接於氣體源GSC2之閥V6控制為打開狀態。又,將閥V21、閥V3、各閥V5、及連接於各氣體源GS之閥V6控制為關閉狀態。再者,於圖5中,中空之閥V表示控制為打開狀態之閥V,塗黑之閥V表示控制為關閉狀態之閥V。
其次,將第1氣體自氣體源GSC1供給至配管系統12內,將第2氣體自氣體源GSC2供給至配管系統12內(S102)。第1氣體之流量由流量控制器FCn-1控制,第2氣體之流量由流量控制器FCn控制。然後,藉由排氣裝置50,而將配管系統12內之氣體排出。又,流量控制器FC1~FCn-2亦被控制為打開狀態。藉此,於配管系統12內,對由圖5之粗線所示之區間供給第1氣體及第2氣體。
其次,將閥V1n-1、閥V1n、及閥V22控制為關閉狀態(S103)。藉此,例如如圖6所示,於配管系統12內,對由粗線所示之區間填充第1氣體及第2氣體。
其次,藉由溫度控制裝置17,而將配管系統12之溫度控制為特定溫度TP (S104)。於本實施形態中,特定溫度TP 係第1氣體中所包含之第1化合物與第2氣體中所包含之第2化合物聚合之溫度。特定溫度TP 係第1溫度之一例。第1化合物與第2化合物例如於0℃以上、150℃以下之範圍內之溫度聚合。
圖7A係表示形成皮膜之前之配管L之剖面之一例的圖。圖7B係表示形成有皮膜之後之配管L之剖面之一例的圖。例如,於如圖7A所示之狀態之配管L中,藉由執行步驟S104,而配管L之內壁例如如圖7B所示,由化合物之皮膜CF覆蓋。再者,皮膜CF亦形成於流量控制器FC1~FCn-2、閥V11~V1n-2之內壁。
以後,直至執行步驟S90之皮膜去除處理為止,溫度控制裝置17將配管系統12之溫度控制為藉由第1化合物與第2化合物之聚合而生成之化合物不解聚的溫度。藉由第1化合物與第2化合物之聚合而生成之化合物例如於200℃以上350℃以下之範圍內之溫度解聚。因此,溫度控制裝置17將配管系統12之溫度控制為例如未達200℃、或350℃以上之範圍內之溫度。較佳為,溫度控制裝置17將配管系統12之溫度控制為例如未達200℃之溫度且自各氣體源供給之氣體可維持氣體之狀態之溫度。
[校準] 圖8係表示校準(S20)之一例之流程圖。再者,圖8所示之流程圖表示了1個流量控制器FC之校準,但關於其他流量控制器FC之校準亦相同。又,步驟S70中之校準亦係與圖8所示之處理相同之處理。
於圖8所示之校準中,首先,將基準器40連接於配管L0(S200)。具體而言,藉由將基準器40之配管L9連接於接頭部18,而將基準器40經由配管L10及閥V3能夠裝卸地連接於連接管L3。
其次,校正設置於配管L0之壓力感測器14及溫度感測器16(S210)。再者,步驟S210只要於初次使用壓力感測器14及溫度感測器16時,或自上次之校正經過預先設定之期間時進行即可,未必需要於執行步驟S200之後執行。步驟S210包含步驟S211及S212。
於步驟S210中,首先,對配管L內供給氣體(S211)。於步驟S211中,將閥V11、閥V3、及閥V4控制為打開狀態,將閥V12~V1n、閥V21、及閥V22控制為關閉狀態。又,於閥V5之中,將經由配管L5連接於流量控制器FC1之閥V5控制為打開狀態,將其他閥V5控制為關閉狀態。然後,將來自氣體源GSP之氣體經由流量控制器FC1供給至配管L0內。圖9係模式性地表示執行步驟S211之後之各閥V之狀態的圖。於執行步驟S211之後,例如於圖9中由粗線所示之區間之配管L0、(連接於流量控制器FC1之)配管L7、配管L9、配管L10、及槽42內積存氣體。
其次,校正設置於配管L0之壓力感測器14及溫度感測器16(S212)。於步驟S212中,將於步驟S211中控制為打開狀態之閥V11控制為關閉狀態。然後,於配管L0及槽42內之氣體成為穩定狀態之後,基於壓力感測器44及溫度感測器46之計測值,校正設置於配管L0之壓力感測器14及溫度感測器16。該校正係藉由以壓力感測器14及溫度感測器16之計測值與壓力感測器44及溫度感測器46之計測值一致之方式調整壓力感測器14及溫度感測器16之測定值而進行。於執行步驟S212之後,亦可將閥V22控制為打開狀態,使用排氣裝置50將配管L內之氣體排出。
於執行步驟S210之後,執行步驟S220。於步驟S220中,算出配管L0之容積。步驟S220包含步驟S221、S222、S223、S224、及S225。
於步驟S220中,首先,對配管L0內供給氣體(S221)。於步驟S221中,將閥V11及V4控制為打開狀態,將閥V12~V1n、閥V21、閥V22、及閥V3控制為關閉狀態。然後,將來自氣體源GSP之氣體經由流量控制器FC1供給至配管L0內。圖10係模式性地表示執行步驟S221之後之各閥V之狀態的圖。於執行步驟S221之後,例如,如圖10中由粗線所示,氣體積存於配管L0及(連接於流量控制器FC1之)配管L7內。
其次,藉由壓力感測器14及溫度感測器16,而測定配管L0內之壓力及溫度(S222)。步驟S222中,將於步驟S221中控制為打開狀態之閥V11控制為關閉狀態。然後,於配管L0內之氣體成為穩定狀態之後,取得壓力感測器14及溫度感測器16之測定值,即配管L0內之氣體之壓力及溫度。
其次,將配管L0內之氣體之一部分供給至基準器40之槽42內(S223)。於步驟S223中,藉由將閥V3控制為打開狀態,而將配管L0內之氣體之一部分供給至槽42內。圖11係模式性地表示執行步驟S222之後之各閥之狀態的圖。於執行步驟S222之後,例如,如圖11中由粗線所示,於配管L0、配管L10、配管L9、及槽42內積存氣體。
其次,測定配管L0內之壓力及溫度(S224)。於步驟S224中,於配管L0及槽42內之氣體成為穩定狀態之後,取得壓力感測器14及溫度感測器16之測定值,即配管L0內之氣體之壓力及溫度。再者,於S224中,亦可代替取得壓力感測器14及溫度感測器16之測定值,而取得壓力感測器44及溫度感測器46之測定值,即槽42內之氣體之壓力及溫度。
其次,算出配管L0之容積(S225)。控制裝置11將所算出之配管L0之容積保存於記憶體。步驟S225係測定製程之一例。此處,將步驟S222中測定出之配管L0內之壓力及溫度分別設為P1 及T1 ,將步驟S224中測定出之配管L0內之壓力及溫度分別設為P2 及T2 。此時,根據波以耳-查理定律,該等測定值具有下述之式(27)所示之關係。其中,於下述之式(27)中,V12 表示配管L0之容積,V42 表示包含槽42內之空間之封閉空間之容積。基準器40中之V42 為已知之值。 P1 ・V12 /T1 =P2 ・(V12 +V42 )/T2 ・・・(27)
控制裝置11藉由將步驟S222中測定出之配管L0內之壓力P1 及溫度T1 、以及步驟S224中測定出之配管L0或槽42內之壓力P2 及溫度T2 代入至上述之式(27),而算出配管L0之容積V12 。然後,控制裝置11將所算出之配管L0之容積之值保存於記憶體。再者,於執行步驟S220之後,亦可將基準器40自配管系統12卸除。又,於執行S220之後,亦可將閥V22控制為打開狀態,使用排氣裝置50將配管系統12內之氣體排出。
於執行步驟S220之後,執行步驟S230。於步驟S230中,使用所謂增層法算出於配管L0內流動之氣體之流量。步驟S230包含步驟S231、S232、及S233。
於步驟S230中,首先,以固定之設定流量對配管L0內供給氣體(S231)。於步驟S231中,將閥V11及閥V22控制為打開狀態,將閥V12~V1n、閥V21、閥V3、及閥V4控制為關閉狀態。然後,將來自氣體源GSP之氣體經由流量控制器FC1以固定之設定流量供給至配管L0內。然後,將閥V22控制為關閉狀態。圖12係模式性地表示執行步驟S231之後之各閥V之狀態的圖。於執行步驟S231之後,例如,如由圖12之粗線所示,於配管L0及(連接於流量控制器FC1之)配管L7內積存氣體。
其次,測定配管L0內之壓力及溫度(S232)。於步驟S232中,於將來自氣體源GSP之氣體經由流量控制器FC1以固定之設定流量持續地供給至配管L0內之狀態下,取得壓力感測器14及溫度感測器16之測定值,即配管L0內之壓力及溫度。配管L0內之壓力及溫度之測定至少進行兩次。於本實施形態中,第一次測定係於將閥V22控制為關閉狀態之第1時間點t1 進行,第二次測定係於自第1時間點t1 經過時間Δt之後之第2時間點t2 進行。
其次,測定配管L0內之氣體之流量(S233)。於步驟S233中,根據步驟S232中之測定結果算出配管L0內之實際之氣體之流量。具體而言,藉由將於第1時間點t1 測定出之配管L0內之壓力之值與於第2時間點t2 測定出之配管L0內之壓力之值的差量ΔP除以時間Δt(=t2 -t1 ),而算出相對於時間Δt之配管L0內之壓力上升率ΔP/Δt。
然後,藉由將壓力上升率ΔP/Δt、配管L0之容積VL0 、配管L7之容積VL7 、及於第1時間點t1 或第2時間點t2 測定出之氣體之溫度T代入至下述之式(28),而算出配管L0內之氣體之實際之流量Q。再者,配管L7之容積VL7 係配管系統12之設計時規定之已知之值。又,於下述之式(28)中,C係常數。 Q=(ΔP/Δt)・(VL0 +VL7 )・C/T ・・・(28)
此處,若將配管L0之容積VL0 與配管L7之容積VL7 之和設為Vp ,則配管L0內之氣體之實際之流量Q以下述之式(1-1)之方式表示。再者,Vp 係將閥V11控制為打開狀態、將閥V12~V1n、閥V21、閥V22、閥V3、及閥V4控制為關閉狀態時之配管L0之容積與連通於配管L0之流路之容積的和。 Q=(ΔP/Δt)・Vp ・C/T ・・・(1-1)
再者,於執行步驟S233之後,亦可將閥V22控制為打開狀態,使用排氣裝置50將配管系統12內之氣體排出。
於執行步驟S230之後,執行步驟S240。於步驟S240中,基於步驟S230中所算出之氣體之實際之流量Q,校正流量控制器FC1之輸出流量。流量控制器FC1之校正係藉由以流量控制器FC1中之流量之設定值與步驟S230中所算出之氣體之實際之流量Q一致的方式調整流量控制器FC1之流量之設定值而進行。於執行步驟S240之後,亦可將閥V22控制為打開狀態,使用排氣裝置50將配管系統12內之氣體排出。
[電漿處理] 圖13係表示電漿處理之一例之流程圖。於與圖13相關之以下之說明中,適當參照圖14A至圖14F、圖15A至圖15C、及圖16A至圖16C。圖14A至圖14F係表示各製程中之晶圓W之狀態之一例的剖視圖。圖15A至圖15C係模式性地表示保護膜之形成過程之一例之圖。圖16A至圖16C係模式性地表示蝕刻之原理之圖。
首先,準備成為處理對象之晶圓W(S300)。於步驟S300中,例如,準備如圖14A所示之剖面結構之晶圓W,將晶圓W搬入至電漿處理裝置10之處理腔室20內,載置於靜電吸盤ESC上。晶圓W例如如圖14A所示,具備基板SB、被蝕刻層EL、有機膜OL、抗反射膜AL、及遮罩MK1。被蝕刻層EL設置於基板SB上。被蝕刻層EL係包括相對於有機膜OL選擇性地蝕刻之材料之層,例如使用絕緣膜。被蝕刻層EL例如可包括氧化矽(SiO2 )。再者,被蝕刻層EL亦可包括多晶矽等其他材料。
有機膜OL設置於被蝕刻層EL上。有機膜OL係包含碳之層,例如係SOH(spin-on-hardmask,旋塗硬質遮罩)層。抗反射膜AL係含有矽之抗反射膜,設置於有機膜OL上。
遮罩MK1設置於抗反射膜AL上。遮罩MK1係包括抗蝕劑材料之抗蝕劑遮罩,藉由利用光微影技術將抗蝕劑層圖案化而製作。遮罩MK1例如可為ArF抗蝕劑。遮罩MK1局部地覆蓋抗反射膜AL。遮罩MK1劃分形成使抗反射膜AL局部地露出之開口OP1。遮罩MK1之圖案例如係線與間隙圖案,但可具有提供於俯視時為圓形之開口之圖案、提供於俯視時為橢圓形狀之開口之圖案等其他各種形狀之圖案。
再者,遮罩MK1例如亦可使用聚苯乙烯-嵌段-聚甲基丙烯酸甲酯(PS-b-PMMA)等嵌段共聚物,進而,亦可為利用該PS及PMMA之相分離結構而形成者。
返回至圖13繼續說明。以下,與圖13一起,參照圖14A~14F及圖15A~15C進行說明。圖14A~14F係表示各製程中之晶圓W之狀態之一例的剖視圖。圖15A~15C係模式性地表示保護膜之形成過程之一例之圖。
接著步驟S300之後,對晶圓W照射二次電子(S310)。於步驟S310中,於執行步驟S320及S330之前,藉由於處理腔室20內產生電漿對上部電極30施加負直流電壓,而對遮罩MK1照射二次電子。於步驟S320中,氧化矽之保護膜SX共形(conformal)地形成於遮罩MK1。於形成保護膜SX之步驟S320及S330之一系列製程之執行前,藉由對遮罩MK1照射二次電子,可於保護膜SX之形成前將遮罩MK1改質。
對步驟S310中之處理之內容具體地進行說明。首先,藉由對處理腔室20內供給含氫氣體及稀有氣體,自第1高頻電源62供給高頻電力,而於處理腔室20內生成電漿。將含氫氣體及稀有氣體自氣體源GS經由配管系統12供給至處理腔室20內。然後,將處理空間S中之正離子拉入至上部電極30,該正離子與上部電極30碰撞,藉此自上部電極30釋放二次電子。藉由將所釋放之二次電子照射至晶圓W,而將遮罩MK1改質。
又,藉由正離子與電極板34碰撞,而將作為電極板34之構成材料之矽與二次電子一起釋放。所釋放之矽與自置於電漿中之電漿處理裝置10之構成零件釋放之氧鍵結。該氧例如自支持部21、絕緣構件32、及溫度感測器46等構件釋放。藉由矽與氧之鍵結,而生成氧化矽化合物,該氧化矽化合物堆積於晶圓W上覆蓋遮罩MK1。然後,將處理腔室20內之處理空間S沖洗。
接著步驟S310之後,依序執行步驟S320~S330。於步驟S320~S330之一系列製程中,氧化矽膜之保護膜SX共形地形成於遮罩MK1之表面。於執行步驟S310之後,將步驟S320執行一次以上。步驟S320及S330係藉由與ALD(Atomic Layer Deposition,原子層沈積)法相同之方法而於晶圓W上以均勻之厚度共形地形成氧化矽之保護膜SX的製程。步驟S320包含步驟S321、S322、S323、及S324。
於步驟S320中,首先,對處理腔室20內供給氣體G1(S321)。於步驟S321中,例如,如圖15A所示,對處理腔室20內,導入含有矽之氣體G1。氣體G1包括包含有機金屬化合物之胺基矽烷系氣體。作為包含有機金屬化合物之胺基矽烷系氣體,例如使用單胺基矽烷(H3-Si-R(R為含有有機之胺基))。氣體G1自氣體源GS經由配管系統12供給至處理腔室20內。於步驟S321中,不生成氣體G1之電漿。
氣體G1之分子例如如圖15B所示,作為反應前驅物(層Ly1)附著於晶圓W之表面。氣體G1之分子(單胺基矽烷)係藉由基於化學鍵結之化學吸附而附著於晶圓W之表面,不使用電漿。於步驟S321中,晶圓W之溫度為0℃以上20℃以下之範圍內之溫度。再者,只要為能夠於該溫度範圍藉由化學鍵結而附著於表面且含有矽者,則亦能夠利用單胺基矽烷以外之氣體。
其次,將處理腔室20內之處理空間S沖洗(S322)。具體而言,將於步驟S321中所供給之氣體G1排出。於步驟S322中,亦可將氮氣或稀有氣體(例如Ar)等惰性氣體作為沖洗氣體供給至處理腔室20內。即,步驟S322之沖洗亦可為將惰性氣體於處理腔室20內流動之氣體沖洗或利用抽真空之沖洗之任一者。於步驟S322中,亦可將過剩地附著於晶圓W上之分子去除。藉此,反應前驅物之層Ly1成為極薄之單分子層。
其次,於處理腔室20內生成氣體G2之電漿P1(S323)。氣體G2包含含有氧原子及碳原子之氣體,例如可包含二氧化碳氣體。於步驟S323中,生成氣體G2之電漿P1時之晶圓W之溫度為0℃以上20℃以下。氣體G2自氣體源GS經由配管系統12供給至處理腔室20內。然後,自第1高頻電源62供給高頻電力,自第2高頻電源64供給高頻偏壓電力,藉由使排氣裝置50動作而將處理腔室20內之壓力設定為特定之壓力。如此一來,於處理腔室20內生成氣體G2之電漿P1。
例如,如圖15B所示,若生成氣體G2之電漿P1,則生成氧之活性種及碳之活性種,例如,氧自由基、碳自由基。然後,例如,如圖15C所示,作為氧化矽膜之層Ly2(與保護膜SX對應)形成為單分子層。碳自由基由於可發揮抑制向遮罩MK1之氧腐蝕之功能,故而氧化矽膜可作為保護膜於遮罩MK1之表面穩定地形成。氧化矽膜之Si-O鍵之鍵結能為192 kcal左右,較CC鍵、C-H鍵、C-F鍵之各者之鍵結能高,故而氧化矽膜可發揮作為保護膜之功能。CC鍵之鍵結能為50-110 kcal左右,C-H鍵之鍵結能為70-110 kcal左右,C-F鍵之鍵結能為100-120 kcal左右。
其次,沖洗處理腔室20內之空間(S324)。具體而言,將於步驟S323中供給之氣體G2排出。於步驟S324中,亦可將氮氣或稀有氣體(例如Ar)等惰性氣體作為沖洗氣體供給至處理腔室20。即,步驟S324之沖洗亦可為將惰性氣體於處理腔室20內流動之氣體沖洗或利用抽真空之沖洗之任一者。
其次,判定是否結束步驟S320之處理(S330)。於步驟S330中,判定步驟S320之執行次數是否達到預先設定之次數。步驟S320之執行次數例如與圖14B所示之晶圓W上所形成之保護膜SX之厚度對應。即,利用藉由1次(單位週期)之步驟S320之執行形成之氧化矽膜之膜厚與步驟S320之執行次數之積,可實質上決定最終形成於晶圓W上之保護膜SX之厚度。因此,可根據形成於晶圓W上之保護膜SX之所期望之厚度,設定步驟S320之執行次數。如此,藉由將步驟S320重複執行,而於遮罩MK1之表面共形地形成氧化矽膜之保護膜SX。
於步驟S330中,判定為步驟S320之執行次數未達到預先設定之次數之情形時(S330:否),再次執行步驟S320。另一方面,於步驟S330中,判定為步驟S320之執行次數達到預先設定之次數之情形時(S330:是),結束步驟S320之執行。藉此,例如如圖14B所示,於晶圓W之表面上形成作為氧化矽膜之保護膜SX。即,藉由將步驟S320重複僅預先設定之次數,而具有預先設定之膜厚之保護膜SX與遮罩MK1之疏密無關地以均勻之厚度共形地形成於晶圓W之表面。設置於遮罩MK1上之保護膜SX之厚度藉由將步驟S320重複執行,而精度良好地控制。
藉由步驟S320及S330之一系列處理而形成之保護膜SX例如如圖14B所示,包含區域R1、區域R2、及區域R3。區域R3係於遮罩MK1之側面上沿著該側面延伸之區域。區域R3自抗反射膜AL之表面延伸至區域R1之下側。區域R1於遮罩MK1之上表面及區域R3上延伸。區域R2於相鄰之區域R3之間之抗反射膜AL之表面上延伸。步驟S320由於係與ALD法同樣地形成保護膜SX,故而與遮罩MK1之疏密無關,區域R1、區域R2、及區域R3之各者之膜厚成為相互大致相等之膜厚。
其次,進行以將區域R1及區域R2去除之方式將保護膜SX蝕刻之回蝕(S340)。為了將區域R1及區域R2去除,需要各向異性之蝕刻條件。因此,於步驟S340中,自氣體源GS將包含氟碳系氣體(CxFy氣體(x、y為1~10之整數))之處理氣體供給至處理腔室20內。作為氟碳系氣體,例如,可為CF4 、C4F8 、或CHF3 等。然後,藉由自第1高頻電源62供給高頻電力,自第2高頻電源64供給高頻偏壓電力,使排氣裝置50動作,而將處理腔室20內之空間之壓力設定為特定之壓力。處理腔室20內之壓力例如較理想的是為20 mT以下。藉此,電漿中之離子或自由基等活性種之平均自由行程變長,促進各向異性蝕刻。
如此一來,生成氟碳系氣體之電漿。所生成之電漿中之包含氟之活性種藉由利用高頻偏壓電力之向鉛垂方向之拉入,而將區域R1及區域R2優先地蝕刻。其結果,例如如圖14C所示,將區域R1及區域R2選擇性地去除,藉由所殘留之區域R3而形成遮罩MS。遮罩MS與遮罩MK1構成抗反射膜AL之表面上之遮罩MK2。
其次,執行步驟S350及S360之一系列製程。步驟S350及S360之一系列製程係對抗反射膜AL進行蝕刻之製程。
於執行步驟S340之後,首先,將步驟S350執行一次以上。於步驟S350中,藉由與ALE(Atomic Layer Etching,原子層蝕刻)法相同之方法,而將抗反射膜AL中未由遮罩MK2覆蓋之區域與遮罩MK2之疏密無關地以高選擇比精密地蝕刻。步驟S350包含步驟S351、S352、S353、及S354。
於步驟S350中,首先,於處理腔室20內生成氣體G3之電漿(S351)。包含該電漿中所包含之自由基之混合層MX1形成於抗反射膜AL之表面之原子層。於步驟S351中,於晶圓W載置於靜電吸盤ESC上之狀態下,對處理腔室20內供給氣體G3,生成該氣體G3之電漿。氣體G3係適合於含有矽之抗反射膜AL之蝕刻之蝕刻劑氣體,且包含氟碳系氣體及稀有氣體。氣體G3例如可為CxFy/Ar氣體。CxFy可為CF4 。氣體G3自氣體源GS經由配管系統12供給至處理腔室20內。然後,藉由自第1高頻電源62供給高頻電力,自第2高頻電源64供給高頻偏壓電力,使排氣裝置50動作,而將處理腔室20內之空間之壓力設定為特定之壓力。藉此,氣體G3之電漿於處理腔室20內生成。氣體G3之電漿包含碳自由基及氟自由基。
圖16A~16C係模式性地表示蝕刻之原理之圖。於圖16A~16C中,白圈表示構成抗反射膜AL之原子,黑圈表示自由基。又,於圖16A~16C中,由圓包圍之「+」表示下述氣體G4中所包含之稀有氣體之原子之離子(例如Ar原子之離子)。例如,如圖14C及圖16A所示,藉由步驟S351,而將氣體G3之電漿中所包含之碳自由基及氟自由基供給至抗反射膜AL之表面。藉由步驟S351,而包含構成抗反射膜AL之原子與碳自由基及氟自由基之混合層MX1形成於抗反射膜AL之表面。
由於氣體G3包含氟碳系氣體,故而於步驟S351中,對抗反射膜AL之表面之原子層供給氟自由基及碳自由基,可於該原子層形成含有該等兩個自由基之混合層MX1。
再者,於ArF抗蝕劑之遮罩MK1中,遮罩MK2中所包含之遮罩MS之Si或氣體G3之電漿中所包含之碳自由基作為保護膜發揮功能。又,氟自由基量可藉由利用電源70之直流電壓而控制。
其次,沖洗處理腔室20內之空間(S352)。於步驟S352中,將於步驟S351中供給之氣體G3排出。於步驟S352中,亦可將氮氣或稀有氣體(例如Ar氣體)等惰性氣體作為沖洗氣體供給至處理腔室20。即,步驟S352之沖洗亦可為將惰性氣體於處理腔室20內流動之氣體沖洗或利用抽真空之沖洗之任一者。
其次,於處理腔室20內生成氣體G4之電漿,對該電漿施加偏壓電壓,將混合層MX1去除(S353)。氣體G4例如可包含Ar氣體等稀有氣體。氣體G4自氣體源GS經由配管系統12供給至處理腔室20。又,藉由自第1高頻電源62供給高頻電力,自第2高頻電源64供給高頻偏壓電力,使排氣裝置50動作,而將處理腔室20內之空間之壓力設定為特定之壓力。藉此,氣體G4之電漿於處理腔室20內生成。
所生成之電漿中之氣體G4之原子之離子(例如Ar原子之離子)藉由利用高頻偏壓電力之向鉛垂方向之拉入,而與抗反射膜AL之表面之混合層MX1碰撞,對該混合層MX1供給能量。於步驟S353中,例如,如圖16B所示,對形成於抗反射膜AL之表面之混合層MX1經由氣體G4之原子之離子供給能量,可藉由該能量而自抗反射膜AL將混合層MX1去除。
其次,沖洗處理腔室20內之空間(S354)。於步驟S354中,將於步驟S353中供給之氣體G4排出。於步驟S354中,亦可將氮氣或稀有氣體(例如Ar氣體)等惰性氣體作為沖洗氣體供給至處理腔室20。即,步驟S354之沖洗亦可為將惰性氣體於處理腔室20內流動之氣體沖洗或利用抽真空之沖洗之任一者。藉由於步驟S354中進行之沖洗,例如,如圖16C所示,亦可將抗反射膜AL之表面之構成混合層MX1之原子、及氣體G4之電漿中所包含之過剩之離子(例如Ar原子之離子)充分地去除。
其次,判定是否結束步驟S350之執行(S360)。於步驟S360中,判定步驟S350之執行次數是否達到預先設定之次數。步驟S350之執行次數與相對於抗反射膜AL之蝕刻之程度(深度)對應。步驟S350能夠以將抗反射膜AL蝕刻至到達有機膜OL之表面為止之方式重複執行。即,能夠以藉由1次(單位週期)之步驟S350之執行而蝕刻之抗反射膜AL之厚度與步驟S350之執行次數之積成為抗反射膜AL本身之厚度的方式,決定步驟S350之執行次數。因此,可根據抗反射膜AL之厚度,設定步驟S350之執行次數。
於判定為步驟S350之執行次數未達到預先設定之次數之情形時(S360:否),再次執行步驟S350。另一方面,於判定為步驟S350之執行次數達到預先設定之次數之情形時(S360:是),結束步驟S350之執行。藉此,例如如圖14D所示,將抗反射膜AL蝕刻,形成遮罩ALM。即,藉由將步驟S350重複僅預先設定之次數,抗反射膜AL與遮罩MK2之疏密(遮罩MK1之疏密)無關地以與遮罩MK2所提供之開口OP2之寬度相同及均勻之寬度被蝕刻,又,選擇比亦提高。
遮罩ALM與遮罩MK2一起,提供開口OP3。開口OP3之寬度係與遮罩MK2所提供之開口OP2之寬度(參照圖14C)大致相同之寬度。遮罩MK2與遮罩ALM構成相對於有機膜OL之遮罩MK3。藉由抗反射膜AL之蝕刻而形成之開口OP3之寬度可藉由將步驟S350重複執行而精度良好地控制。
又,藉由自步驟S310至S340為止之一系列製程,而以均勻且被精度良好地控制之膜厚穩定之氧化矽膜形成於抗反射膜AL上之遮罩MK2之側面。藉此,可降低遮罩MK2之形狀(LWR及LER)因對於抗反射膜AL之步驟S350之蝕刻所受之影響。如此,可降低遮罩MK2之形狀因步驟S350之蝕刻而受到之影響。藉此,藉由蝕刻而形成之開口OP3之寬度亦可降低由步驟S350之蝕刻所致之影響,亦可降低由遮罩MK2之疏密(遮罩MK1之疏密)所致之影響。
其次,使用遮罩MK3將有機膜OL蝕刻(S370)。步驟S370係於對抗反射膜AL進行蝕刻處理之步驟S350~S360之執行後,藉由於處理腔室20內產生之電漿,使用遮罩MK3對有機膜OL進行蝕刻處理之製程。遮罩MK3係於將抗反射膜AL蝕刻之製程(步驟S350~S360)中自抗反射膜AL形成。
於步驟S370中,首先,自氣體源GS經由配管系統12將包含氮氣與含氫氣體之處理氣體供給至處理腔室20內。處理氣體亦可包含氧。然後,藉由自第1高頻電源62供給高頻電力,自第2高頻電源64供給高頻偏壓電力,使排氣裝置50動作,而將處理腔室20內之空間之壓力設定為特定之壓力。藉此,生成包含氮氣與含氫氣體之處理氣體之電漿。所生成之電漿中之作為氫之活性種之氫自由基將有機膜OL之所有區域中自遮罩MK3露出之區域蝕刻。
藉此,例如,如圖14E所示,將有機膜OL蝕刻,具有與遮罩MK3所提供之開口OP3之寬度(參照圖14D)相同之寬度之開口OP4之遮罩OLM自有機膜OL形成。遮罩ALM與遮罩OLM構成相對於被蝕刻層EL之遮罩MK4。藉由步驟S350,遮罩MK3之開口OP3之寬度之均勻性與遮罩MK3之疏密(遮罩MK2之疏密)無關地得以提高,又,遮罩MK3之形狀(LWR及LER)亦良好。因此,遮罩MK4之開口OP4之寬度之均勻性亦與遮罩MK4之疏密(遮罩MK3之疏密)無關地得以提高,又,遮罩MK4之形狀(LWR及LER)亦良好。
其次,藉由將被蝕刻層EL針對每個原子層去除,而將被蝕刻層EL蝕刻(S380)。步驟S380包含步驟S381、S382、S383、S384、及S385。
於步驟S380中,首先,對晶圓W照射二次電子(S381)。於步驟S381中,藉由於處理腔室20內產生電漿並對設置於處理腔室20之平行平板電極之上部電極30施加負直流電壓,而對遮罩MK4照射二次電子。又,自上部電極30所具有之含有矽之電極板34釋放矽並利用包含該矽之氧化矽化合物覆蓋遮罩MK4。於利用氧化矽化合物覆蓋遮罩MK4之後,將處理腔室20內之空間沖洗。
於步驟S381中,藉由對處理腔室20內供給含氫氣體及稀有氣體(例如Ar氣體),自第1高頻電源62供給高頻電力,而於處理腔室20內生成電漿。含氫氣體及稀有氣體(例如Ar氣體)自氣體源GS經由配管系統12供給至處理腔室20內。然後,處理空間S內之正離子被拉入至上部電極30,該正離子與上部電極30碰撞。藉由正離子與上部電極30碰撞,而自上部電極30釋放二次電子。
藉由將所釋放之二次電子照射至晶圓W,而將遮罩MK1改質。又,藉由正離子與電極板34碰撞,而作為電極板34之構成材料之矽與二次電子一起釋放。所釋放之矽與自置於電漿中之電漿處理裝置10之構成零件釋放之氧鍵結。該氧例如自支持部21、絕緣構件32、及溫度感測器46等構件釋放。藉由矽與氧之鍵結,而生成氧化矽化合物,該氧化矽化合物堆積於晶圓W上覆蓋遮罩MK4。然後,將處理腔室20內沖洗。
其次,藉由與步驟S351相同之方法,於處理腔室20內生成氣體G5之電漿,包含該電漿中所包含之自由基之混合層MX2形成於被蝕刻層EL之表面之原子層(S382)。於步驟S382中,於晶圓W載置於靜電吸盤ESC上之狀態下,對處理腔室20內供給氣體G5,生成該氣體G5之電漿。氣體G5係適合於被蝕刻層EL之蝕刻之蝕刻劑氣體,包含氟碳系氣體與稀有氣體,例如可為CxFy/Ar氣體。CxFy例如可為CF4 。氣體G5自氣體源GS經由配管系統12供給至處理腔室20內。
然後,藉由自第1高頻電源62供給高頻電力,自第2高頻電源64供給高頻偏壓電力,使排氣裝置50動作,而將處理腔室20內之空間之壓力設定為特定之壓力。藉此,氣體G5之電漿於處理腔室20內生成。氣體G5之電漿包含碳自由基及氟自由基。藉由步驟S382,包含碳自由基及氟自由基之混合層MX2形成於被蝕刻層EL之表面之原子層(參照圖14E及圖16A)。由於氣體G5包含氟碳系氣體,故而於步驟S381中,對被蝕刻層EL之表面之原子層供給氟自由基及碳自由基,可於該原子層形成含有該等兩個自由基之混合層MX2。
其次,藉由與步驟S352相同之方法,而將處理腔室20內之空間沖洗(S383)。於步驟S383中,將於步驟S382中供給之氣體G5排出。於步驟S383中,亦可將氮氣或稀有氣體(例如Ar氣體)等惰性氣體作為沖洗氣體供給至處理腔室20。即,步驟S383之沖洗亦可為將惰性氣體於處理腔室20內流動之氣體沖洗或利用抽真空之沖洗之任一者。
其次,藉由與步驟S353相同之方法,於處理腔室20內生成氣體G6之電漿,對該電漿施加偏壓電壓,將混合層MX2去除(S384)。氣體G6包含稀有氣體,例如可包含Ar氣體。氣體G6自氣體源GS經由配管系統12供給至處理腔室20。然後,藉由自第1高頻電源62供給高頻電力,自第2高頻電源64供給高頻偏壓電力,使排氣裝置50動作,而將處理腔室20內之空間之壓力設定為特定之壓力。藉此,氣體G6之電漿於處理腔室20內生成。所生成之電漿中之氣體G6之原子之離子(例如Ar原子之離子)藉由利用高頻偏壓電力之向鉛垂方向之拉入,而與被蝕刻層EL之表面之混合層MX2碰撞,對該混合層MX2供給能量。例如,如圖16B所示,於步驟S382中對形成於被蝕刻層EL之表面之混合層MX2經由氣體G6之原子之離子供給能量,可藉由該能量而自被蝕刻層EL將混合層MX2去除。
其次,藉由與步驟S354相同之方法,將處理腔室20內之空間沖洗(S385)。於步驟S385中,將於步驟S384中供給之氣體G6排氣。於步驟S385中,亦可將氮氣或稀有氣體(例如Ar氣體)等惰性氣體作為沖洗氣體供給至處理腔室20。即,步驟S385之沖洗亦可為將惰性氣體於處理腔室20內流動之氣體沖洗或利用抽真空之沖洗之任一者。例如,如圖16C所示,藉由於步驟S385中進行之沖洗,亦可將被蝕刻層EL之表面之構成混合層MX2之原子、及氣體G6之電漿中所包含之過剩之離子(例如Ar原子之離子)充分地去除。
其次,藉由與步驟S360相同之方法,判定是否結束步驟S380之執行(S390)。於步驟S390中,判定步驟S380之執行次數是否達到預先設定之次數。步驟S380之執行次數與相對於被蝕刻層EL之蝕刻之程度(深度)對應。步驟S380能夠以將被蝕刻層EL蝕刻至基板SB之表面為止之方式重複執行。即,能夠以藉由1次(單位週期)之步驟S380之執行而蝕刻之被蝕刻層EL之厚度與步驟S380之執行次數之積成為被蝕刻層EL本身之厚度的方式,決定步驟S380之執行次數。因此,可根據被蝕刻層EL之厚度,設定步驟S380之執行次數。
於步驟S390中,判定為步驟S380之執行次數未達到預先設定之次數之情形時(S390:否),再次執行步驟S380。另一方面,於步驟S390中,判定為步驟S380之執行次數達到預先設定之次數之情形時(S390:是),結束圖13所示之電漿處理。藉此,例如,如圖14F所示,生成被蝕刻層EL已被蝕刻之晶圓W。
[皮膜去除處理] 圖17係表示皮膜去除處理(S90)之一例之流程圖。於與圖17相關之以下之說明中,適當參照圖18及圖19。圖18係表示附著有積存物之配管之剖面之一例的圖。圖19係模式性地表示執行步驟S900之後之各閥之狀態的圖。再者,若執行步驟S30中之電漿處理,則例如如圖18所示,於步驟S10之皮膜形成處理中形成於配管L內之皮膜CF上附著積存物DP。
於皮膜去除處理中,首先,將特定之閥V控制為打開狀態(S900)。於步驟S900中,例如,如圖19所示,將閥V11~V1n、閥V22、及各V5控制為打開狀態,將閥V21、閥V3、及各閥V6控制為關閉狀態。
其次,藉由溫度控制裝置17,而將配管系統12之溫度控制為特定溫度TD (S901)。然後,將來自氣體源GSP之沖洗氣體供給至配管系統12內,利用排氣裝置50將配管系統12內之氣體排出,藉此將配管系統12內沖洗(S902)。然後,將於步驟S900中控制為打開狀態之各閥控制為關閉狀態(S903)。
於步驟S901中,藉由將配管系統12之溫度控制為特定溫度TD ,而於步驟S10中形成於配管L等之內壁之皮膜CF解聚,皮膜CF自配管L等之內壁剝離。此時,附著於皮膜CF之積存物DP亦與皮膜CF一起自配管L等之內壁剝離。然後,與自氣體源GSP供給之沖洗氣體一起將皮膜CF及積存物DP排出。藉此,將配管L內之積存物DP去除,配管L內之狀態成為例如圖7A所示之狀態。同樣地,附著於配管系統12內之流量控制器FC或閥V之積存物DP亦與皮膜CF一起被去除。
藉由將配管系統12內之積存物DP去除,利用流量控制器FC之流量控制之精度恢復。又,藉由將配管系統12內之積存物DP去除,而將顆粒之產生源去除,可防止晶圓W因顆粒而污染。
[其他] 再者,本案所揭示之技術並不限定於上述實施形態,能夠於其主旨之範圍內進行多種變化。
例如,於上述實施形態中,步驟S10之皮膜形成處理係於步驟S30之電漿處理之前進行1次,但揭示之技術並不限定於此,步驟S10之皮膜形成處理亦可於步驟S30之電漿處理之前進行2次以上。
再者,應認為此次所揭示之實施形態於所有方面為例示而並非限制性者。實際上,上述實施形態可利用多樣之形態實現。又,上述實施形態不脫離隨附之申請專利範圍及其主旨,亦可以各種形態進行省略、置換、變更。
1‧‧‧處理系統 10‧‧‧電漿處理裝置 11‧‧‧控制裝置 12‧‧‧配管系統 14‧‧‧壓力感測器 16‧‧‧溫度感測器 17‧‧‧溫度控制裝置 18‧‧‧接頭部 20‧‧‧處理腔室 20a‧‧‧排氣口 20b‧‧‧開口 21‧‧‧支持部 22a‧‧‧第1板 22b‧‧‧第2板 23‧‧‧直流電源 24‧‧‧流路 26a‧‧‧配管 26b‧‧‧配管 28‧‧‧配管 30‧‧‧上部電極 32‧‧‧絕緣構件 34‧‧‧電極板 34a‧‧‧氣體噴出孔 36‧‧‧電極支持體 36a‧‧‧氣體擴散室 36b‧‧‧氣體流通孔 36c‧‧‧氣體導入口 40‧‧‧基準器 42‧‧‧槽 44‧‧‧壓力感測器 46‧‧‧溫度感測器 50‧‧‧排氣裝置 52‧‧‧排氣管 54‧‧‧積存物遮罩 56‧‧‧排氣板 58‧‧‧閘閥 62‧‧‧第1高頻電源 64‧‧‧第2高頻電源 66‧‧‧整合器 68‧‧‧整合器 70‧‧‧電源 AL‧‧‧抗反射膜 ALM‧‧‧遮罩 CF‧‧‧皮膜 DP‧‧‧積存物 EL‧‧‧被蝕刻層 ESC‧‧‧靜電吸盤 FC‧‧‧流量控制器 FC1~FCn‧‧‧流量控制器 FR‧‧‧聚焦環 G1‧‧‧氣體 GS‧‧‧氣體源 GSC1‧‧‧氣體源 GSC2‧‧‧氣體源 GSP‧‧‧氣體源 HP‧‧‧加熱器電源 HT‧‧‧加熱器 L‧‧‧配管 L0‧‧‧配管 L1‧‧‧主管 L2‧‧‧分支管 L3‧‧‧連接管 L4‧‧‧配管 L5‧‧‧配管 L6‧‧‧配管 L7‧‧‧配管 L8‧‧‧配管 L9‧‧‧配管 L10‧‧‧配管 L11‧‧‧配管 LE‧‧‧下部電極 Ly1‧‧‧層 Ly2‧‧‧層 MK1‧‧‧遮罩 MK2‧‧‧遮罩 MK3‧‧‧遮罩 MS‧‧‧遮罩 MX1‧‧‧混合層 MX2‧‧‧混合層 OL‧‧‧有機膜 OLM‧‧‧遮罩 OP1‧‧‧開口 OP2‧‧‧開口 OP3‧‧‧開口 OP4‧‧‧開口 P1‧‧‧電漿 PD‧‧‧載置台 R1‧‧‧區域 R2‧‧‧區域 R3‧‧‧區域 S‧‧‧處理空間 S10‧‧‧步驟 S20‧‧‧步驟 S30‧‧‧步驟 S40‧‧‧步驟 S50‧‧‧步驟 S60‧‧‧步驟 S70‧‧‧步驟 S80‧‧‧步驟 S90‧‧‧步驟 S100‧‧‧步驟 S101‧‧‧步驟 S102‧‧‧步驟 S103‧‧‧步驟 S104‧‧‧步驟 S200‧‧‧步驟 S211‧‧‧步驟 S212‧‧‧步驟 S221‧‧‧步驟 S222‧‧‧步驟 S223‧‧‧步驟 S224‧‧‧步驟 S225‧‧‧步驟 S231‧‧‧步驟 S232‧‧‧步驟 S233‧‧‧步驟 S240‧‧‧步驟 S300‧‧‧步驟 S310‧‧‧步驟 S321‧‧‧步驟 S322‧‧‧步驟 S323‧‧‧步驟 S324‧‧‧步驟 S330‧‧‧步驟 S340‧‧‧步驟 S351‧‧‧步驟 S352‧‧‧步驟 S353‧‧‧步驟 S354‧‧‧步驟 S360‧‧‧步驟 S370‧‧‧步驟 S381‧‧‧步驟 S382‧‧‧步驟 S383‧‧‧步驟 S384‧‧‧步驟 S385‧‧‧步驟 S390‧‧‧步驟 S900‧‧‧步驟 S901‧‧‧步驟 S902‧‧‧步驟 S903‧‧‧步驟 SB‧‧‧基板 SW‧‧‧開關 SX‧‧‧保護膜 V‧‧‧閥 V3‧‧‧閥 V4‧‧‧閥 V5‧‧‧閥 V6‧‧‧閥 V11~V1n‧‧‧閥 V21~V22‧‧‧閥 W‧‧‧晶圓
圖1係表示本發明之一實施形態中之處理系統之一例的系統構成圖。 圖2係表示電漿處理裝置之概略之一例之剖視圖。 圖3係表示藉由處理系統執行之處理之一例之流程圖。 圖4係表示皮膜形成處理之一例之流程圖。 圖5係表示處理系統之各閥之狀態之一例的圖。 圖6係表示處理系統之各閥之狀態之一例的圖。 圖7A係表示形成皮膜之前之配管之剖面之一例的圖。 圖7B係表示形成皮膜之後之配管之剖面之一例的圖。 圖8係表示校準之一例之流程圖。 圖9係模式性地表示執行步驟S211之後之各閥之狀態的圖。 圖10係模式性地表示執行步驟S221之後之各閥之狀態的圖。 圖11係模式性地表示執行步驟S222之後之各閥之狀態的圖。 圖12係模式性地表示執行步驟S231之後之各閥之狀態的圖。 圖13係表示電漿處理之一例之流程圖。 圖14A係表示各製程中之晶圓之狀態之一例的剖視圖。 圖14B係表示各製程中之晶圓之狀態之一例的剖視圖。 圖14C係表示各製程中之晶圓之狀態之一例的剖視圖。 圖14D係表示各製程中之晶圓之狀態之一例的剖視圖。 圖14E係表示各製程中之晶圓之狀態之一例的剖視圖。 圖14F係表示各製程中之晶圓之狀態之一例的剖視圖。 圖15A係模式性地表示保護膜之形成過程之一例之圖。 圖15B係模式性地表示保護膜之形成過程之一例之圖。 圖15C係模式性地表示保護膜之形成過程之一例之圖。 圖16A係模式性地表示蝕刻之原理之圖。 圖16B係模式性地表示蝕刻之原理之圖。 圖16C係模式性地表示蝕刻之原理之圖。 圖17係表示皮膜去除處理之一例之流程圖。 圖18係表示附著有積存物之配管之剖面之一例的圖。 圖19係模式性地表示執行步驟S900之後之各閥之狀態的圖。
S10‧‧‧步驟
S20‧‧‧步驟
S30‧‧‧步驟
S40‧‧‧步驟
S50‧‧‧步驟
S60‧‧‧步驟
S70‧‧‧步驟
S80‧‧‧步驟
S90‧‧‧步驟

Claims (4)

  1. 一種氣體供給管之清潔方法,其特徵在於包括:皮膜形成製程,其係於在氣體供給管內被供給有包含第1化合物之第1氣體及包含第2化合物之第2氣體之狀態下,藉由將上述氣體供給管之溫度控制為上述第1化合物與上述第2化合物聚合之第1溫度,而於上述氣體供給管之內壁,藉由上述聚合形成化合物之皮膜;及去除製程,其係於藉由經由形成有上述皮膜之上述氣體供給管供給至處理腔室內之處理氣體而對上述處理腔室內之被處理體進行特定之處理之後,藉由將上述氣體供給管之溫度控制為上述皮膜解聚之第2溫度,而將上述皮膜去除;上述皮膜形成製程包括如下製程:將上述氣體供給管之溫度控制為上述第2溫度;於將上述氣體供給管之兩端之閥打開之狀態下,對上述氣體供給管內供給上述第1氣體及上述第2氣體;及於將上述氣體供給管之兩端之閥關閉之狀態下,藉由將上述氣體供給管控制為上述第1溫度,而於上述氣體供給管之內壁形成上述化合物之皮膜;上述第1化合物為異氰酸酯,上述第2化合物為胺或具有羥基之化合物。
  2. 如請求項1之氣體供給管之清潔方法,其中上述皮膜形成製程係於藉由經由形成有上述皮膜之上述氣體供給管供給至上述處理腔室 內之處理氣體而對上述處理腔室內之被處理體進行特定之處理之處理製程之前執行複數次。
  3. 如請求項1或2之氣體供給管之清潔方法,其中於上述去除製程之後,進而進行上述皮膜形成製程。
  4. 一種用於半導體裝置之製造之處理系統,其特徵在於具備:處理腔室;氣體供給管,其連接於上述處理腔室;第1氣體源,其將包含第1化合物之第1氣體供給至上述氣體供給管;第2氣體源,其將包含第2化合物之第2氣體供給至上述氣體供給管;溫度控制裝置,其對上述氣體供給管之溫度進行控制;及控制裝置;且上述控制裝置執行:皮膜形成製程,其係於在上述氣體供給管內被供給有上述第1氣體及上述第2氣體之狀態下,藉由將上述氣體供給管之溫度控制為上述第1化合物與上述第2化合物聚合之第1溫度,而於上述氣體供給管之內壁藉由上述聚合形成化合物之皮膜;及去除製程,其係於藉由經由形成有上述皮膜之上述氣體供給管供給至上述處理腔室內之處理氣體而對上述處理腔室內之被處理體進行特定之處理之後,藉由將上述氣體供給管之溫度控制為上述皮膜解聚之第2溫度,而將上述皮膜去除;上述皮膜形成製程中,執行如下製程: 將上述氣體供給管之溫度控制為上述第2溫度;於將上述氣體供給管之兩端之閥打開之狀態下,對上述氣體供給管內供給上述第1氣體及上述第2氣體;及於將上述氣體供給管之兩端之閥關閉之狀態下,藉由將上述氣體供給管控制為上述第1溫度,而於上述氣體供給管之內壁形成上述化合物之皮膜;上述第1化合物為異氰酸酯,上述第2化合物為胺或具有羥基之化合物。
TW108113149A 2018-04-25 2019-04-16 氣體供給管之清潔方法及處理系統 TWI803618B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2018084251A JP7058545B2 (ja) 2018-04-25 2018-04-25 ガス供給管のクリーニング方法および処理システム
JP2018-084251 2018-04-25

Publications (2)

Publication Number Publication Date
TW201945095A TW201945095A (zh) 2019-12-01
TWI803618B true TWI803618B (zh) 2023-06-01

Family

ID=68292709

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108113149A TWI803618B (zh) 2018-04-25 2019-04-16 氣體供給管之清潔方法及處理系統

Country Status (5)

Country Link
US (1) US11292035B2 (zh)
JP (1) JP7058545B2 (zh)
KR (1) KR102677642B1 (zh)
CN (1) CN110400735B (zh)
TW (1) TWI803618B (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US12068135B2 (en) * 2021-02-12 2024-08-20 Applied Materials, Inc. Fast gas exchange apparatus, system, and method
US20220392785A1 (en) * 2021-06-07 2022-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Small gas flow monitoring of dry etcher by oes signal
CN113684469B (zh) * 2021-08-06 2023-08-22 宁波摩华科技有限公司 一种用于电子器件的有机防护镀层及其制备方法

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2006101171A1 (ja) * 2005-03-24 2006-09-28 Ulvac, Inc. 真空部品の製造方法、樹脂被膜形成装置及び真空成膜システム
CN101490307A (zh) * 2006-07-11 2009-07-22 东京毅力科创株式会社 成膜方法、清洁方法和成膜装置
TW201103652A (en) * 2009-04-30 2011-02-01 Nitto Denko Corp Cleaning sheet, conveyance member with cleaning function, cleaning method of substrate processing apparatus, and substrate processing apparatus
US20140014138A1 (en) * 2010-08-16 2014-01-16 Jeffrey J. Spiegelman Gas-liquid phase transition method and apparatus for cleaning of surfaces in semiconductor manufacturing
JP2018022925A (ja) * 2016-07-21 2018-02-08 東京エレクトロン株式会社 半導体装置の製造方法、真空処理装置及び基板処理装置

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3955170A (en) 1974-11-29 1976-05-04 Texas Instruments Incorporated Solid state switch
JPS5855644U (ja) 1981-10-08 1983-04-15 凸版印刷株式会社 引出脱落防止装置
JPH0668151B2 (ja) * 1988-06-30 1994-08-31 日本真空技術株式会社 真空用部材内部の真空接触面処理方法
JP3585633B2 (ja) * 1996-03-19 2004-11-04 株式会社アルバック 蒸着重合装置
JP3372840B2 (ja) * 1997-09-08 2003-02-04 九州日本電気株式会社 ドライエッチング装置およびガス流量制御の検査方法
US8852685B2 (en) 2010-04-23 2014-10-07 Lam Research Corporation Coating method for gas delivery system
JP2014154682A (ja) * 2013-02-07 2014-08-25 Tokyo Electron Ltd 重合膜成膜装置のクリーニング方法および重合膜成膜装置
JP5605464B2 (ja) * 2013-06-25 2014-10-15 東京エレクトロン株式会社 成膜装置及びそのクリーニング方法
JP6584162B2 (ja) * 2015-06-22 2019-10-02 東京エレクトロン株式会社 積層封止膜形成方法および形成装置
TWI742515B (zh) * 2016-07-21 2021-10-11 日商東京威力科創股份有限公司 半導體裝置之製造方法、真空處理裝置及基板處理裝置

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2006101171A1 (ja) * 2005-03-24 2006-09-28 Ulvac, Inc. 真空部品の製造方法、樹脂被膜形成装置及び真空成膜システム
CN101490307A (zh) * 2006-07-11 2009-07-22 东京毅力科创株式会社 成膜方法、清洁方法和成膜装置
TW201103652A (en) * 2009-04-30 2011-02-01 Nitto Denko Corp Cleaning sheet, conveyance member with cleaning function, cleaning method of substrate processing apparatus, and substrate processing apparatus
US20140014138A1 (en) * 2010-08-16 2014-01-16 Jeffrey J. Spiegelman Gas-liquid phase transition method and apparatus for cleaning of surfaces in semiconductor manufacturing
JP2018022925A (ja) * 2016-07-21 2018-02-08 東京エレクトロン株式会社 半導体装置の製造方法、真空処理装置及び基板処理装置

Also Published As

Publication number Publication date
CN110400735A (zh) 2019-11-01
US11292035B2 (en) 2022-04-05
KR20190124152A (ko) 2019-11-04
US20190333742A1 (en) 2019-10-31
JP2019192790A (ja) 2019-10-31
CN110400735B (zh) 2024-04-16
KR102677642B1 (ko) 2024-06-21
TW201945095A (zh) 2019-12-01
JP7058545B2 (ja) 2022-04-22

Similar Documents

Publication Publication Date Title
TWI803618B (zh) 氣體供給管之清潔方法及處理系統
US10935889B2 (en) Extreme ultra-violet sensitivity reduction using shrink and growth method
US10763123B2 (en) Method for processing workpiece
US7179752B2 (en) Dry etching method
KR101578939B1 (ko) 처리 시스템 및 가스 분배 시스템
JP2011192664A (ja) プラズマエッチング方法及びプラズマエッチング装置
KR102362462B1 (ko) 피처리체를 처리하는 방법
WO2006062795A2 (en) Protoresist strip using solvent vapor
TWI760472B (zh) 成膜方法
US10269578B2 (en) Etching method
KR100601979B1 (ko) 반도체 웨이퍼의 베이킹 장치
US20160141184A1 (en) Dry development and image transfer of si-containing self-assembled block copolymers
US6737358B2 (en) Plasma etching uniformity control
TW202247280A (zh) 曝露於輻射後處置基板的腔室及方法
US10480978B2 (en) Method for inspecting flow rate controller and method for processing workpiece
CN111243950B (zh) 一种刻蚀方法
TW201405661A (zh) 光刻膠的去除方法
WO2024111454A1 (ja) ドライ現像方法及びドライ現像装置
CN117174562A (zh) 利用等离子体的基板处理装置和方法