TW202247280A - 曝露於輻射後處置基板的腔室及方法 - Google Patents

曝露於輻射後處置基板的腔室及方法 Download PDF

Info

Publication number
TW202247280A
TW202247280A TW111112180A TW111112180A TW202247280A TW 202247280 A TW202247280 A TW 202247280A TW 111112180 A TW111112180 A TW 111112180A TW 111112180 A TW111112180 A TW 111112180A TW 202247280 A TW202247280 A TW 202247280A
Authority
TW
Taiwan
Prior art keywords
plasma
substrate
diffuser
ions
substrate support
Prior art date
Application number
TW111112180A
Other languages
English (en)
Inventor
迪米奇 路柏曼斯基
道格拉斯A 布希博格二世
奇偉 梁
金亨俊
怡利 葉
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202247280A publication Critical patent/TW202247280A/zh

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Plasma Technology (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

本文描述了一種用於執行曝光後烘烤操作的方法及設備。設備包括板堆疊並且使得能夠在第一高離子密度電漿內的離子濃度使用擴散器減小以形成第二低離子密度電漿之前形成第一高離子密度電漿。第二低離子密度電漿係電子雲或暗電漿。在基板支撐件上設置的基板的曝光後烘烤期間,電場在基板支撐件與擴散器之間並且穿過第二低離子密度電漿形成。在施加電場期間第二低離子密度電漿電氣耦接基板支撐件及擴散器。板堆疊裝備有電源供應器及絕緣器以使得能夠在處理腔室的三個區域內形成或修改電漿。

Description

曝露於輻射後處置基板的腔室及方法
本揭示的實施例通常係關於用於半導體處理的方法及設備。更具體而言,本揭示涉及用於曝露於輻射後處置基板的方法及設備。
積體電路已發展為複雜裝置,該等裝置可以在單個晶片上包括數百萬個元件(例如,電晶體、電容器、及電阻器)。光微影係可用於在晶片上形成元件的製程。通常,光微影製程涉及若干階段。最初,光阻層在基板上形成。化學放大的光阻劑通常包括抗蝕劑樹脂及光酸產生劑。在後續曝光階段中曝露於電磁輻射之後,光酸產生劑改變光阻劑在顯影製程中的溶解性。電磁輻射可藉由任何適當源產生,例如,雷射、電子束、離子束、或其他適當的電磁輻射源。亦選擇具有任何期望波長(例如,193 nm或其他適當波長)的電磁輻射。
在曝露階段中,光遮罩或主光罩用於將基板的某些區域選擇性曝露於電磁輻射。其他曝光方法包括無遮罩曝光方法或類似者。曝露於光分解光酸產生劑,如此產生酸並且導致抗蝕劑樹脂中的潛在酸影像。在曝光之後,基板在曝光後烘烤製程中加熱。在曝光後烘烤製程期間,藉由光酸產生劑產生的酸與抗蝕劑樹脂反應,從而改變在後續顯影製程期間抗蝕劑的溶解性。
在曝光後烘烤之後,顯影及沖洗基板(特別地光阻層)以產生圖案化的遮罩。取決於所使用的光阻劑的類型,曝露於電磁輻射的基板的區域係抗移除的或更易於移除。在顯影及沖洗之後,使用濕式或乾式蝕刻製程將遮罩的圖案轉移到基板。
晶片設計的發展持續涉及更快的電路系統及更大的電路密度。對較大電路密度的需求通常利用積體電路元件的尺寸減小。隨著積體電路元件的尺寸減小,能夠將更多元件放置在半導體積體電路上的給定區域中。由此,微影製程將甚至更小的特徵轉移到基板上,並且微影如此精確、準確、且無損壞地滿足先進的晶片設計規範。為了精確且準確地將特徵轉移到基板上,高解析度微影利用提供小波長輻射的光源。小波長幫助減小基板上的最小可印刷大小。然而,小波長微影存在問題,諸如低處理量、增加的線邊緣粗糙度、及/或降低的抗蝕劑敏感性。
利用電極組件以在曝光製程之前或之後產生電場並且將其遞送到在基板上設置的光阻層,以便更改其中發射電磁輻射的光阻層的一部分的化學性質,用於改進微影曝光/顯影解析度。然而,使用當前的光阻材料及烘烤製程在減小基板上的印刷大小方面存在困難。
由此,需要用於基板上的抗蝕劑圖案化的改進方法。
本揭示通常係關於用於基板處理的設備及方法。在一個實施例中,描述了一種適用於在半導體製造中使用的基板處理的設備。設備包括面板、電氣耦接到面板的源匹配裝置、及與面板相對設置的擴散器。電漿形成體積在面板與擴散器的第一側面之間設置。設備進一步包括在面板與第二擴散器之間設置的絕緣器。處理體積藉由擴散器的第二側面部分形成並且基板支撐件在與阻擋屏相對的處理體積內設置。基板支撐件中包括一或多個加熱裝置。電壓源與擴散器及基板支撐件電氣通訊並且經配置為產生在擴散器與基板支撐件之間垂直定向的電場。設備進一步包括控制器。控制器經配置為導致設備執行以下操作:使處理氣體流入電漿形成體積中;形成具有第一離子濃度的第一電漿;使第一電漿穿過擴散器流動以形成具有約10 4個離子/cm 3至約10 6個離子/cm 3的第二離子濃度的第二電漿;在擴散器與基板支撐件之間施加電壓差以跨第二電漿形成電場,以及將在基板支撐件上設置有的抗蝕劑層的基板加熱到約75℃至約300℃的溫度,同時施加電場。
在另一實施例中,描述了一種用於處理基板的方法。方法包括使處理氣體流入電漿形成區域中。在第一電漿形成區域中由處理氣體形成具有第一離子密度的第一電漿。第一電漿穿過擴散器流動且流到處理區域中,並且形成具有小於第一離子密度的第二離子密度的第二電漿。電場跨基板與擴散器之間的處理區域內的第二電漿施加。在基板上形成的抗蝕劑層藉由使用一或多個加熱裝置加熱基板同時跨基板施加電場來烘烤。
在又一實施例中,描述了另一種用於處理基板的方法。方法包括使惰性氣體流入電漿形成區域中。在第一電漿形成區域中由惰性氣體形成具有第一離子密度的第一電漿。第一電漿穿過離子阻擋板流動並且流到處理區域中以形成具有約10 4個離子/cm 3至約10 6個離子/cm 3的第二離子密度的第二電漿。電場跨基板支撐件與離子阻擋板之間的處理區域內的第二電漿施加。在基板支撐件上設置的基板上形成的抗蝕劑層藉由使用一或多個加熱裝置加熱基板同時跨基板施加電場來烘烤。
本揭示通常涉及用於在半導體基板的曝光後烘烤期間使用的設備及方法。本文揭示的方法及設備有助於減小線邊緣/寬度粗糙度並且改進半導體處理應用的光微影製程中的曝光解析度。本文揭示的方法及設備亦可有助於減小用於實現與習知技術相比類似的關鍵尺寸的輻射(eUV曝光)的劑量。本文描述的設備使得能夠在基板上的抗蝕劑層的電場引導烘烤。電場引導烘烤可在顯影基板之前執行,使得場引導烘烤係曝光後場引導烘烤。與習知技術相比,已經顯示在光阻層上使用場引導烘烤改進了劑量敏感性並且減小線寬度粗糙度。
如本文描述的「基板」或「基板表面」通常指其上執行處理的任何基板表面。處理包括沉積、蝕刻、圖案化及在半導體處理期間利用的其他方法。可處理的基板或基板表面亦包括介電材料,諸如二氧化矽、氮化矽、有機矽酸鹽、及碳摻雜的氧化矽或氮化矽材料。在某些實施例中,基板或基板表面包括光阻材料、硬遮罩材料、或在圖案化基板時利用的其他膜或層。基板本身不限於任何特定大小或形狀。儘管本文描述的實施例通常參考大約200 mm或300 mm的基板進行,根據本文描述的實施例可利用其他大小及形狀(諸如多邊形、方形、矩形、彎曲、或另外非圓形的工件)。其他基板大小可包括100 mm、150 mm、250 mm、350 mm、400 mm、450 mm等。
本文揭示的方法及設備改進了光微影製程的光阻敏感性及生產率。在曝光後烘烤程序期間藉由光酸產生劑產生的帶電物種的隨機擴散有助於線邊緣/寬度粗糙度及減小的抗蝕劑敏感性。電極組件(諸如本文描述的彼等)用於在光微影製程期間將電場及/或磁場到施加光阻層。場施加控制藉由光酸產生劑產生的帶電物種的擴散。此外,中間介質在光阻層與電極組件之間利用以便增強其間產生的電場。在一些實施例中,中間介質係流體,諸如液體、氣體、或電漿。在另一實施例中,中間介質係非液體且非固體介質。
在光阻層與電極組件之間定義的空氣間隙導致施加到電極組件的電壓降,因此,不利地降低期望產生及施加到光阻層的電場強度。在光阻層處的不準確(例如,過少或過多電壓及/或電流)及/或不均勻電場強度可導致在某些期望方向上在光阻層中驅動或產生帶電物種的電壓電力不足或不準確,因此導致減少的對光阻層的線邊緣輪廓控制減少。產生受控或期望的電場強度增加光阻層的準確性及對曝光及/或顯影製程的敏感性。
在其中中間介質係非氣相介質(諸如漿料、凝膠、液體溶液、或固態介質)的實施例中,當從電極組件發送到在基板上設置的光阻層時,所施加的電壓位準可有效地維持在確定的範圍下。然而,使用非氣相(例如,液體或液體狀材料)作為中間介質具有仍要解決的若干挑戰。例如,非氣相中間介質具有受限的操作溫度並且可與基板上設置的抗蝕劑層反應。
將非氣相流體的電阻率控制在穩定範圍中亦具有挑戰性。在某些態樣及應用中,中間介質的期望電阻率係約6 10 10Ohm cm,但歸因於在半導體生產期間的變化,實際電阻率接近5 10 10Ohm cm。中間介質的電阻率及溫度在基板烘烤操作期間改變並且受到氧含量、介質內的雜質、及介質的可能聚合影響。非氣相流體的純度難以控制並且可在正處理的基板上導致缺陷。當與未曝露區域相比時非氣相流體與曝露區域處的光阻劑不同地相互作用,並且可導致光阻劑損失及粗糙度改變。
由此,本文提供了對上述問題的解決方案,該等方案利用弱離子化的氣體或弱離子化的氣體的混合物作為中間介質。一或多種弱離子化的氣體藉由使一或多種氣體流入電漿形成區域中並且形成高離子密度電漿(約10 5個離子/cm 3至約10 10個離子/cm 3)來產生。高離子密度電漿稍後過濾並且視情況與另一氣體組合以形成低離子密度電漿(10 4個離子/cm 3至10 7個離子/cm 3)。低離子密度電漿混合物包括但不限於自由基及中子,並且穿過噴淋頭流動以遞送到基板與相對電極之間的體積中。隨著低離子密度電漿經過噴淋頭並且到間隙中,低離子密度電漿混合物過濾並且離開噴淋頭作為帶電物種的雲。低離子密度電漿及帶電物種雲含有來自相同氣體或氣體的混合物的不同種類的離子及自由基。帶電物種雲包括與低離子密度電漿相比較低的離子濃度,諸如約10 4個離子/cm 3至約10 6個離子/cm 3
將電子密度定義為體積中的自由電子的數量。電漿的每一者的電子密度可類似於離子密度,使得高離子密度電漿中的電子密度係約10 5個電子/cm 3至約10 10個電子/cm 3,在低離子密度電漿中的電子密度係約10 4個電子/cm 3至10 7個電子/cm 3,並且在基板與電極之間的電子密度係約10 4個電子/cm 3至約10 10個電子/cm 3。直接在基板之上的電子及離子密度經選擇以形成電場經過的電漿介質,同時不處置基板頂部上的抗蝕劑層或與該抗蝕劑層反應或保持對該抗蝕劑層實質上惰性。電場穿過電漿介質耦接,使得電漿介質係攜帶電荷的介質,穿過該介質更有效地維持電場。用於形成電漿介質的氣體以及在電漿介質內的電子/離子密度進一步影響電漿介質是否與基板頂部上的抗蝕劑層反應。由此,控制電漿介質的形狀、密度、位置、及構成以增加穿過其耦接的電場,同時減少與基板的反應。
在一些實施例中,在經過噴淋頭或擴散器到基板與相對電極之間的處理體積中之前形成高離子密度電漿或低離子密度電漿的僅一者。在此等實施例中,在基板與相對電極之間的離子密度係約10 4個離子/cm 3至約10 6個離子/cm 3,並且電子密度係約10 4個離子/cm 3至約10 6個離子/cm 3
在處理腔室內的氣體及電漿關於電阻率、溫度、壓力及組成選擇及/或控制。在處理腔室內的處理環境的壓力係在約10 mTorr與約800 Torr之間。電壓在基板與相對電極之間施加,使得電場穿過在基板與相對電極之間的氣體或電漿相介質形成。電場藉由電源供應器將恆定電壓或恆定電流施加到基板支撐件或電極的一者或兩者來控制。電流的方向可係單極或雙向的,使得電場相對於基板的頂表面向上或向下定向。在一些實施例中,交流電藉由電源供應器施加。
將低離子密度電漿/帶電物種雲遞送到基板與相對電極之間的間隙或體積中。在本文描述的實施例中,相對電極係輔助電漿注入(secondary plasma injection; SPI)噴淋頭。SPI噴淋頭具有用於穿過其傳遞氣體的複數個孔洞。
在一個實施例中,SPI噴淋頭的面向基板的底表面由導電材料形成,諸如具有受控電阻率的金屬或半導體材料。SPI噴淋頭的頂表面背對基板,並且藉由介電層塗佈以減少或消除電流或電場從相對方向(向上)上定向到板堆疊的其他部分中,諸如維持在接地電位的另一噴淋頭。
在另一實施例中,SPI噴淋頭由介電材料形成,諸如陶瓷、石英或塑膠材料。在此實施例中SPI噴淋頭的底表面係面向基板的金屬化表面。使SPI噴淋頭由具有金屬化表面的介電材料形成係有益的,因為穿過SPI噴淋頭形成的孔洞具有由介電材料製造的內表面。當使用具有金屬化底表面的介電SPI噴淋頭時,施加到面向基板的底部金屬化表面的電壓定向電流及電場以穿過低離子密度電漿流動到基板或從基板流動並且穿過低離子密度電漿。
在又一實施例中,SPI噴淋頭由矽材料形成,諸如結晶矽材料,並且跨SPI噴淋頭的厚度的電阻率藉由摻雜面向基板的表面來控制。
用於防止或減少電流或電場從SPI噴淋頭在向上方向上定向的另一佈置包括增加在SPI噴淋頭與SPI噴淋頭之上的接地或浮動噴淋頭之間的間隙的電阻。在此實施例中,間隙用可透過低離子密度電漿的介電介質填充以使得低離子密度電漿能夠在不完全複合的情況下經過其中。
若期望將中性或不帶電氣體添加到低離子密度電漿,藉由位於SPI噴淋頭之上的雙通道噴淋頭將中性氣體添加到低密度電漿。雙通道噴淋頭包括完全經過噴淋頭主體的厚度的至少一組通道及僅在噴淋頭主體的一側上具有開口並且經配置為提供一或多種第二氣體/電漿的第二組通道。將電漿產生源放置在SPI噴淋頭頂部上並且包括下列的一或多個:電容耦接電漿(capacitively coupled plasma; CCP)佈置、感應耦接電漿(inductively coupled plasma; ICP)佈置、紫外(ultraviolet; UV)佈置、微波佈置、或遠端電漿源(remote plasma source; RPS)佈置。在一些實施例中,CCP架構的下部電極係用作電漿複合構件的噴淋頭或擴散器。電漿複合包括移除電漿內的離子以增加自由基與離子的比率。在其中將擴散器放置在CCP架構的底部上的實施例中,板堆疊可裝備在預先存在的腔室架構上。
在一個實施例中,本文描述的板堆疊可在獲自Santa Clara,CA之Applied Materials,Inc的SELECTRA ®腔室上利用。可以預期,來自其他製造商的其他適當配置的設備亦可獲益於本文描述的實施例。擴散器可具有相對於基板支撐件向其施加的DC偏壓。在此實例中,擴散器經由RF濾波器連接到DC電源供應器,此實現獨立RF返回路徑。擴散器與腔室蓋或主體電氣絕緣。部分複合或低離子密度電漿流入其中設置基板的處理區域中,並且電流/電場在基板與擴散器之間流動。在此實施例中,不利用SPI噴淋頭或雙通道噴淋頭。
在本文描述的實施例的每一者內,在曝露於低離子密度電漿時,基板安置在基板支撐基座上。基板支撐基座參考SPI噴淋頭帶正電或帶負電。基板支撐基座包括真空夾持元件及能力。基板支撐基座係經配置為控制基板溫度的加熱的基座。
第1圖示出了根據一個實施例的處理腔室100的示意性橫截面圖。處理腔室100經配置為在其上設置有光阻劑或化學改質的抗蝕劑層的基板上執行場引導曝光後烘烤操作。本文描述的處理腔室100可跨中心線C鏡像,使得第二處理腔室(未圖示)形成耦接到相同真空處理平臺的整體結構。在一個實施例中,平臺係獲自Santa Clara,CA之Applied Materials,Inc.的PRODUCER ®設備。然而,可以預期,可獲自其他製造商的其他適當配置的設備可獲益於本文描述的實施例。
處理腔室100包括混合塊102,該混合塊用作RF電極及/或氣體歧管。將處理氣體從氣體源136提供到混合塊102。來自氣體源136的處理氣體穿過饋通構件103進入混合塊102。在一個實施例中,饋通構件103由聚合材料形成,諸如聚四氟乙烯。混合塊102包括其中設置的流動定心插入件140。流動定心插入件140可係環,如其中形成有開口204的設備。開口204穿過插入件140的中心形成並且開口204可係單個孔或可係多個孔。流動定心插入件140改進在處理腔室100的板堆疊內的處理氣體的同心流動分配。流動定心插入件140額外有助於減少電漿回流到混合塊102中。
混合塊102電氣耦接到第一擴散器104及面板106,該面板用於重新導引源氣體的流動,使得氣體流動係均勻的(在第1圖的視圖中從左到右係均勻的)。應當注意,由於任何此種擴散器或屏可耦接到特定電位,本文的所有擴散器或屏可表徵為電極。絕緣器108電氣絕緣包括面板106的混合塊102與第二擴散器110。第二擴散器110用作與面板106相對的第二電極。在一個實施例中,第二擴散器110係選擇性調變裝置(selectivity modulating device; SMD)並且用作離子阻擋板。第一擴散器104及面板106由導電材料形成,諸如金屬。如本文描述的導電材料可係鋁或鋁合金。絕緣器108係絕緣材料,諸如介電質。絕緣材料可係陶瓷(諸如氧化鋁)、或石英材料。
第一擴散器104、面板106、及第二擴散器110的每一者具有穿過其設置的複數個孔洞以使得處理氣體能夠穿過板堆疊(例如,第一擴散器104、面板106、絕緣器108、第二擴散器110、氣體分配裝置112、絕緣器板156、及阻擋屏114)流動。混合塊102、面板106、及第二擴散器110的至少一部分由導電材料形成,諸如鋁或鋁合金。
面板106、第二擴散器110及絕緣器108的表面定義第一電漿產生區域210,其中當存在來自氣體源136的氣體並且穿過混合塊102在面板106處提供能量時產生第一電漿。
直接面向第一電漿產生區域210的面板106及第二擴散器110的表面可用例如氧化釔(Y 2O 3)或氧化鋁(Al 2O 3)的陶瓷層塗佈,用於防止在第一電漿產生區域210中產生的高能電漿產品的轟擊。陶瓷塗佈可藉由電子束塗佈製程、陽極化製程、及/或非孔隙陽極化製程形成。其他適當塗層包括鍍鎳及表面氧化製程,例如,藉由曝露於濃縮的HNO 3溶液。不必直接曝露於電漿而是曝露於反應性氣體及/或藉由電漿產生的自由基的面板106及第二擴散器110的其他表面可用陶瓷層(例如,氧化釔、氧化鋁)或用適當的鈍化層(例如,陽極化層、或化學產生的氧化鋁層)塗佈用於抗化學性。絕緣器108可係任何絕緣器,並且在某些實施例中,由陶瓷材料形成。
在第一電漿產生區域210中產生的電漿產品經過第二擴散器110,該第二擴散器進一步促進電漿產品的均勻分配並且有助於電子溫度控制。在經過第二擴散器110之後,電漿產品經過氣體分配裝置112。由於氣體分配裝置112及第二擴散器110在邊緣處彼此接觸,氣體分配裝置112亦保持在與第二擴散器110類似的電壓下。完全經過氣體分配裝置112的孔216(第2圖)具有為第二擴散器110內的孔的直徑的至少三倍的直徑。氣體分配裝置112包括第二及/或第三組氣體通道214,該等氣體通道可用於隨著一或多種額外氣體進入第二電漿產生區域218而將其等引入電漿產品。第二及/或第三組氣體通道214耦接到第二氣體源142。第二氣體源142經配置為供應一或多種惰性或中性氣體,並且可視情況有助於減少在面板106與第二擴散器110之間產生的電漿的離子化。一或多種額外氣體從氣體分配裝置112的遠離第二擴散器110的一側排出。氣體分配裝置112由鋁或鋁合金製成,並且如同上文論述的面板208及第二擴散器110,氣體分配裝置112可用鈍化層(用於抗化學性)塗佈、或可用陶瓷層塗佈。
穿過氣體分配裝置112流動的氣體及電漿進入第二電漿產生區域218(第2圖),其中氣體與電漿混合。第二電漿產生區域218係在氣體分配裝置112與阻擋屏114之間形成的充氣室。氣體分配裝置112及阻擋屏114兩者均可保持在不同電壓電位下以控制其間形成的第二電漿的離子密度。在一些實施例中,分配裝置112及阻擋屏114兩者均接地,使得第二擴散器110及氣體分配裝置112連接到第一接地253,而阻擋屏114連接到第二接地255。氣體分配裝置112及阻擋屏114藉由絕緣器板156分離。絕緣器板156由陶瓷或塑膠材料形成並且可類似於絕緣器108。在一個實施例中,絕緣器板156由氧化鋁材料形成。在其他實施例中,絕緣器板156由塑膠材料形成,諸如含有氟碳的材料。塑膠材料可係聚四氟乙烯(PTFE)或聚醚醚酮(PEEK)。絕緣器板156經選擇以體現期望的電氣絕緣性質,使得絕緣器板156的電阻率大於約10 15Ω cm,諸如大於約10 16Ω cm,諸如大於約10 17Ω cm,諸如大於約10 18Ω cm。絕緣器板156實現對在氣體分配裝置112與阻擋屏114之間的電壓差的控制。阻擋屏114係電漿阻擋屏或離子阻擋屏,使得隨著電漿經過阻擋屏114而過濾電漿並且離子的濃度減小。阻擋屏114可替代地描述為噴淋頭或第三擴散器板。
直接曝露於電漿的阻擋屏114的部分可用陶瓷(例如,氧化鋁或氧化釔)塗佈,同時不直接曝露於電漿的表面亦可用陶瓷塗佈。曝露及未曝露的表面均有利地用鈍化層塗佈,用於對反應性氣體及活化物種的抗化學性。
如第1圖及第2圖中描述,阻擋屏114構成板堆疊的底板。阻擋屏114係經配置為防止電漿從處理體積170行進返回板堆疊的噴淋頭。阻擋屏114亦經配置為減少經過阻擋屏114並且到處理體積170中的電漿內的離子數量。阻擋屏114的底表面面向處理體積170及基板支撐件130的頂表面132。
基板支撐件130係基板支撐組件126的上部。基板支撐組件126進一步包括將基板支撐組件126連接到下部腔室主體120的軸件128及波紋管154。波紋管154在處理體積170與外部環境之間形成密封。一或多個背側氣體源152耦接到基板支撐組件126以將背側氣體供應到基板支撐件130的頂表面132。背側氣體源152亦可包括用於在基板150的背側上形成真空的泵。
電源146及運動設備148亦耦接到基板支撐組件126。電源146可係AC或DC電源。電源146經配置為將電力供應到基板支撐件130內的運動設備148及/或一或多個加熱裝置228(第2圖)。運動設備148經配置為實現基板支撐組件126的移動,諸如升高或降低基板支撐組件126、繞著中心軸A旋轉基板支撐組件126、或傾斜基板支撐組件126。基板支撐件130係鋁基板支撐件130。
處理腔室100進一步包括耦接到下部腔室主體120的上部腔室主體116。上部腔室主體116及下部腔室主體120耦接在一起以定義處理體積170的至少一部分。如本文描述的板堆疊在上部腔室主體116頂部上設置。下部腔室主體120包括穿過其設置的至少一個傳遞通道160。泵送襯墊122從下部腔室主體120徑向向內設置。泵送襯墊122包括穿過其設置的複數個開口124。開口124連接排氣充氣室134及處理體積170,使得氣體藉由泵144經由排氣充氣室134移除。開口124繞著泵送襯墊122對稱地設置。噴淋頭間隔件118從上部腔室主體116徑向向內設置並且由導電材料形成,諸如鋁材料。噴淋頭間隔件118進一步定義處理體積170的一部分。上部腔室襯墊119從上部腔室主體116及噴淋頭間隔件118徑向向內設置。上部腔室襯墊119係絕緣器。上部腔室襯墊119由陶瓷材料製造。在一些實施例中,上部腔室襯墊119由氧化鋁材料或氮化鋁材料製造。
源匹配裝置138(諸如RF匹配電路)耦接到板堆疊,使得源匹配裝置138經配置為與面板106電氣通訊。源匹配裝置138經配置為將RF電流或電壓施加到面板106。源匹配裝置138經配置為在面板106與第二擴散器110之間產生電漿。
第2圖示出了根據一個實施例的第1圖的處理腔室100的一部分的示意性橫截面圖。第2圖進一步示出了在板堆疊內形成的通道及充氣室。如本文描述,開口204穿過流動定向插入件140形成。開口202穿過第一擴散器104形成並且與第一充氣室206流體連通。開口208穿過面板106形成並且與第一電漿產生區域210流體連通。
第二擴散器110亦包括複數個開口,以使得來自第一電漿產生區域210的處理氣體能夠穿過第二擴散器110流動並且到第二擴散器110與氣體分配裝置112之間形成的第二充氣室212中。
穿過氣體分配裝置112的孔216與第二充氣室212及第二電漿產生區域218兩者流體連通。氣體分配裝置112進一步包括其中設置的一或多個加熱器250,以實現氣體分配裝置的受控加熱。一或多個加熱器可包括電阻式加熱元件或感應加熱器。氣體分配裝置112及/或第二擴散器電氣耦接到接地。或者,氣體分配裝置112或第二擴散器110的一者耦接到電源以實現與施加到面板106或阻擋屏114的任一者不同的電壓差。
開口220在絕緣器板156內形成以形成第二電漿產生區域218的一部分。阻擋屏114進一步包括複數個孔222,該等孔流體耦接第二電漿產生區域218及處理體積170。歸因於絕緣器板156,阻擋屏114及氣體分配裝置112可保持在不同的電位下以使得能夠控制在第二電漿產生區域218內的電漿強度。穿過阻擋屏114的孔222從穿過第二擴散器110的孔偏移,使得平行於阻擋屏114內的孔的每一者的方向延伸的中心軸從穿過第二擴散器110形成的孔的任何中心軸偏移。偏移孔減少將基板150上的光阻曝露於在第一電漿產生區域210內形成的高離子密度電漿。阻擋屏114包括頂表面244及底表面234。阻擋屏114可經由穿過阻擋屏114設置的一或多個緊固件224耦接到上部腔室主體116。
泵送襯墊122的複數個開口124可進一步包括穿過泵送襯墊122形成的獨立孔226。獨立孔226外接泵送襯墊122以實現從基板支撐件130周圍的處理體積170均勻移除氣體。在本文描述的實施例中,在基板支撐件130內設置的一或多個加熱裝置228係電阻式加熱裝置。背側氣體源152經由一或多個氣體導管230流體耦接到基板支撐件130的頂表面132。邊緣環232亦可在基板150周圍的基板支撐件130的邊緣上設置。
複數個密封環240在板堆疊內的板的每一者之間設置,諸如在面板106與絕緣器108之間、在絕緣器108與第二擴散器110之間、在第二擴散器110與氣體分配裝置112之間、在氣體分配裝置112與絕緣器板156之間、及在絕緣器板156與阻擋屏114之間。密封環240經配置為密封各個區域210、218及充氣室206、212以防止電漿產品從腔室100逃逸並且實現腔室100內的真空完整性。
如上文描述,阻擋屏114的面向基板150的底表面234由導電材料形成,諸如具有受控電阻率的金屬或半導體材料。阻擋屏114的頂表面244背對基板150並且藉由介電層(未圖示)塗佈以減少或消除電流或電場在相對方向(向上)上定向到板堆疊的其他部分中,諸如氣體分配裝置112。
在另一實施例中,阻擋屏114由介電材料形成,諸如陶瓷、石英或塑膠材料。在此實施例中,阻擋屏114的底表面234係面向基板150的金屬化表面。使阻擋屏114由具有金屬化表面的介電材料形成係有益的,因為穿過阻擋屏114形成的孔222具有由介電材料製成的內表面。當使用具有金屬化底表面的介電阻擋屏114時,施加到面向基板150的底部金屬化表面的電壓將在處理體積內穿過低離子密度電漿的電流及電場定向到基板150或從基板150定向並且穿過低離子密度電漿。
在又一實施例中,阻擋屏114由矽材料形成,諸如結晶矽材料,並且跨阻擋屏114的厚度的電阻率藉由摻雜面向基板的表面來控制。
在一些實施例中,在介電材料中塗佈阻擋屏114的表面在阻擋屏114的整個表面上執行。類似地,在整個陶瓷阻擋屏114上方形成金屬塗層可係可能的。在阻擋屏114與其他腔室元件之間的距離將隨後以其他方式控制以考慮板堆疊內的電場分配的改變。
電壓供應器260在阻擋屏114與基板支撐件130之間連接。電壓供應器260實現對在阻擋屏114與基板支撐件130之間的電壓差的控制。電壓供應器可經配置為產生約0 V至約2000 V的電壓差,諸如約10 V至約2000 V。電壓供應器可進一步包括AC/DC波形控制,使得可施加DC電壓或具有小於或等於約7.5 kHz的頻率的AC電壓,諸如約0 kHz至約7.5 kHz。控制在基板支撐件130與阻擋屏114之間的電壓差實現在烘烤基板150上設置的抗蝕劑期間對其間的電場的控制。阻擋屏114或基板支撐件130的任一者可係接地的。在第2圖的實施例中,阻擋屏114係接地的並且基板支撐件130帶正電或帶負電以在基板支撐件130與阻擋屏114之間形成電場。
上文描述的腔室100可以藉由基於處理器的系統控制器控制,諸如控制器270。例如,控制器270可經配置為控制各種前驅物氣體經由氣體源136、142、152的流動,並且協調操作源匹配138以促進在腔室100內的電漿產生及流動。控制器270亦可經配置為藉由調變及控制將電壓施加到板堆疊的一或多個元件及基座以在處理體積170內產生電場來控制在腔室100內的電場產生的所有態樣。控制器270進一步操作以控制基板處理序列的各個階段。
控制器270包括可與記憶體274及大量儲存裝置一起操作的可程式設計中央處理單元(central  processing  unit; CPU) 272、輸入控制單元、及耦接到腔室100的各個元件以促進對基板處理的控制的顯示單元(未圖示),諸如電源供應器、時鐘、快取記憶體、輸入/輸出(I/O)電路、及類似者。控制器270亦包括用於經由腔室100中的感測器(包括監控流量、RF電力、電壓電位及類似者的感測器)監控基板處理的硬體。量測系統參數(諸如基板溫度、腔室大氣壓力及類似者)的其他感測器亦可向控制器270提供資訊。
為了促進對腔室100以及相關聯的電漿及電場形成製程的控制,CPU 272可係任何形式的通用電腦處理器中的一者,該通用電腦處理器可以在工業設置(諸如可程式設計邏輯控制器(programmable logic controller; PLC))中用於控制各個腔室及子處理器。記憶體274耦接到CPU 272並且記憶體274係非暫時性的且可係容易獲得的記憶體中的一或多個,諸如隨機存取記憶體(random access memory; RAM)、唯讀記憶體(read only memory; ROM)、軟碟驅動器、硬碟、或任何其他形式的數位儲存器(本端或遠端)。支援電路276耦接到CPU 272,用於以習知方式支援處理器。電漿及電場形成及其他製程通常在記憶體274中儲存,通常為軟體常式。軟體常式亦可由第二CPU(未圖示)儲存及/或執行,該第二CPU位於由CPU 272控制的硬體遠端。
記憶體274呈含有指令的電腦可讀儲存媒體的形式,當由CPU 272執行時,該等指令促進腔室100的操作。記憶體274中的指令呈程式產品的形式,諸如實施本揭示的方法的程式。程式碼可符合數個不同程式設計語言中的任一者。在一個實例中,本揭示可實施為在電腦可讀儲存媒體上儲存的程式產品以與電腦系統一起使用。程式產品的程式定義實施例的功能(包括本文描述的方法)。
在某些實施例中,程式體現機器學習能力。各種資料特徵包括處理參數,諸如處理時間、溫度、壓力、電壓、極性、電力、氣體物種、前驅物流動速率、及類似者。識別並且定義特徵之間的關係以實現藉由機器學習演算法分析以攝取資料並且適應正由腔室100執行的製程。機器學習演算法可採用受監督學習或無監督學習技術。藉由程式體現的機器學習演算法的實例包括但不限於線性迴歸、邏輯迴歸、決策樹、狀態向量機、神經網路、樸素貝斯(Bayes)、k-最近相鄰者、K-均質、隨機森林、降維演算法、及梯度提升演算法等等。在一個實例中,機器學習演算法用於調變RF電力及前驅物氣體流動以形成電漿並且隨後促進維持包括與離子相比較大的濃度的自由基的低離子密度電漿。以此方式形成電荷物種可藉由識別帶電物種雲(例如,自由基及/或離子)的組成並且修改腔室製程或設備特性以形成並且維持帶電物種雲來細化並且改進,該帶電物種雲作為在電極(例如,屏114)與基座130之間的電場耦接介質呈現期望特性。
說明性電腦可讀取儲存媒體包括但不限於:(i)不可寫儲存媒體(例如,電腦內的唯讀記憶體裝置,諸如其上永久儲存資訊的可由CD-ROM驅動器讀取的CD-ROM碟、快閃記憶體、ROM晶片、或任何類型的固態非揮發性半導體記憶體);以及(ii)其上儲存可變資訊的可寫儲存媒體(例如,在磁碟驅動器或硬碟驅動器內的軟碟或任何類型的固態隨機存取半導體記憶體)。當攜帶導引本文描述的方法的功能的電腦可讀取指令時,此種電腦可讀取儲存媒體係本揭示的實施例。
在氣體分配裝置112的穿孔底表面242與阻擋屏114的頂表面244之間的距離係小於約20 mm,諸如小於約20 mm、諸如約5 mm至約18 mm、諸如約10 mm至約18 mm、諸如約12 mm至約17 mm。在阻擋屏114的底表面234與基板支撐表面132之間的距離D係約2 mm至約60 mm,諸如約5 mm至約56 mm、諸如約16 mm至約56 mm、諸如約32 mm至約56 mm。在氣體分配裝置112、阻擋屏114、及基板支撐表面132之間的間隙係足夠小的以實現良好電場均勻性,同時足夠大以防止分別在第二電漿產生區域218及處理體積170內的氣體的擊穿及放電。在阻擋屏114與基板支撐表面132之間的距離D經配置為實現對處理體積170中形成的電漿的控制,同時減少電漿與基板150的相互作用。控制電漿以提供經過其中的均勻且單向的電場以與基板150相互作用。在處理體積170內的電漿用於電氣耦接基板150與阻擋屏114並且實現對穿過處理體積170的電場的較佳控制。
第3圖示出了根據一個實施例的使用不同壓力及電極分離距離的擊穿電壓的圖表。第3圖的圖表示出了針對不同壓力下的電極之間的三個不同距離的擊穿電壓值。所量測的三個距離標記為D 1、D 2、及D 3。D 1可係小於約5 mm的距離,D 2係小於約15 mm的距離,並且D 3係大於15 mm的距離。在兩個電極之間的氣體壓力保持在一壓力下,諸如第一壓力P 1或第二壓力P 2的一者。第一壓力P 1係約0.5 Torr至約2 Torr並且第二壓力P 2係約2 Torr至約5 Torr。當在第一壓力P 1與第二壓力P 2之間時,在兩個電極之間施加的電壓差保持在第一電壓V 1與第二電壓V 2之間以防止氣體擊穿,其中第一電壓V 1低於第二電壓V 2。第一電壓V 1係約150 V至約200 V。第二電壓V 2係約200 V至約250 V。由此,第3圖有助於決定在擊穿一或多種氣體之前可以在某些壓力及電極之間的距離下施加到一或多種氣體的最大電壓。
第4A圖及第4B圖示出了當在電極之間的變化的DC電壓差下時在電極之間流動的電流的圖表。第4A圖及第4B圖在1 Torr及12.7 mm的間隙距離下利用氦氣環境內的電極以量測在並行電極之間的電流電弧。第4B圖係在第三電壓V 3與第四電壓V 4之間的DC電壓範圍的特寫圖。如第4A圖所示,中性電流A 0係約零的電流,並且由此在相鄰電極之間發生較少電弧或不發生電弧。不同RF電力值具有類似的電流電弧路徑,諸如在第一RF電力值RF 1、第二RF電力值RF 2、或第三RF電力值RF 3下。第一RF電力值RF 1係約100 W至約300 W。第二RF電力值RF 2係約350 W至約750 W。第三RF電力值RF 3係約750 W至約1250 W。
如第4B圖所示,當在第三電壓V 3與第四電壓V 4之間時,在兩個電極之間的電弧電流呈現通常線性的回應。電弧電流保持在第一電流值A 1與第二電流值A 2之間。第一電流值A 1大於約-0.025 mA,諸如大於約-0.02 mA。第二電流值A 2小於約0.025 mA,諸如小於約0.02 mA。保持在第一電流值A 1與第二電流值A 2之間的電弧電流的第三電壓V 3及第四電壓V 4的值係約-250 V至約-150 V的第三電壓V 3及約150 V與約250 V的第四電壓V 4。在場引導曝光後烘烤操作期間,由於在基板處理期間電弧可損壞基板或導致不均勻性,保持電弧電流接近零(諸如在第一電流值A 1與第二電流值A 2之間)改進製程結果。
第5圖係根據一個實施例的在第1圖的處理腔室內處理基板的方法500的流程圖。方法500包括在操作502期間使第一氣體流入第一電漿形成區域(諸如第一電漿產生區域210)中。在使第一氣體流入第一電漿形成區域中之後,第一電漿在另一操作504期間在第一電漿產生區域210內形成。第一電漿具有第一離子密度。第一離子密度電漿係高離子密度電漿,使得在第一電漿內的離子密度係約10 5個離子/cm 3至約10 10個離子/cm 3。類似地,第一電子密度係約10 5個電子/cm 3至約10 10個電子/cm 3。在第一電漿產生區域210內,在面板106與第二擴散器110之間施加的AC電壓具有約8 MHz至約20 MHz的頻率,諸如約10 MHz至約15 MHz。流入電漿形成區域中的第一氣體係惰性氣體或惰性氣體的混合物,諸如氦氣、氖氣、氬氣、氪氣、及/或氙氣。在一些實施例中,第一氣體係氦氣及氬氣的一者或混合物。在本文描述的實施例中,流入第一電漿形成區域210中的第一氣體由僅惰性或其他非反應性氣體組成,使得處理氣體僅包含一或多種惰性氣體。若利用其他氣體(諸如沉積前驅物)、清潔氣體、或氧化前驅物,則可損壞或污染在基板上設置的抗蝕劑材料。第一氣體保持在約0.5 Torr至約8 Torr的壓力下,諸如約1 Torr至約5 Torr。在第一電漿產生區域210內時施加到第一氣體的電力係約25 W至約2000 W,諸如約50 W至約1500 W。在操作504期間,面板106附接到電源,使得將RF電力施加到面板106,同時第二擴散器110電氣接地並且具有恆定電壓電位。
在穿過氣體分配裝置112的孔222流動之前,第一電漿隨後穿過第二擴散器110流動並且到第二充氣室中。在另一操作506期間第一電漿從第一電漿產生區域210流入第二電漿產生區域218中。在操作506期間,在第一電漿內的離子藉由氣體分配裝置112減少以形成第二電漿。在另一操作508期間第二電漿可視情況與第二氣體混合。在操作508期間,將第二氣體引入第二電漿產生區域218(混合區域),並且與第二電漿混合。第二電漿具有低於第一電漿的第一離子密度的第二離子密度。將第二電漿描述為低離子密度電漿並且具有約10 3個離子/cm 3至約10 7個離子/cm 3的離子濃度。類似地,第二電子密度係約10 3個電子/cm 3至約10 7個電子/cm 3。電氣連接到電壓源260的氣體分配裝置112及阻擋屏114的接地使得能夠將第二電漿內的離子的能量位準及濃度控制在第二電漿產生區域218內。隨著第一電漿經過氣體分配裝置112,移除離子以降低第二電漿內的能量位準。將氣體分配裝置112加熱到在約120℃至約250℃之間的溫度。
在形成第二電漿之後,第二電漿穿過阻擋屏114的孔222流動並且到阻擋屏114與基板支撐件130/基板150之間的處理體積170中。處理體積170內的電漿係第三電漿。在阻擋屏114與基板支撐件130之間的電壓差係約0 V至約300 V,諸如約10 V至約250 V。電壓源260可進一步包括AC/DC波形控制,使得可施加DC電壓或具有小於或等於約7.5 kHz的頻率的AC電壓,諸如約0 kHz至約7.5 kHz的AC電壓。在一些實施例中,AC波形可具有DC偏移,使得信號峰不集中在約0 V。處理體積170內的壓力係約0.5 Torr至約10 Torr,諸如約0.5 Torr至約8 Torr,諸如約1 Torr至約5 Torr。
在處理體積170內時將第三電漿描述為帶電物種雲,使得在經過阻擋屏114並且到處理體積170中時第三電漿內的離子濃度減小。在帶電物種雲內的離子/電子藉由在阻擋屏114與基板支撐件130之間形成的電場控制。電場有助於控制第二電漿內的離子密度及離子能量位準,使得離子或電子密度係約10 4個離子/cm 3至約10 6個離子/cm 3。類似地,第三電漿內的電子密度係約10 4個電子/cm 3至約10 6個電子/cm 3。電荷物種雲內的帶電離子各自具有小於約1 eV的離子溫度,並且由此具有對在基板150上設置的光阻劑的減小的影響。低電力第三電漿/帶電物種雲可在本文中稱為在基板支撐件130之上的暗電漿。暗電漿係有益的,因為在利用暗電漿的曝光後烘烤操作期間光阻劑不經歷同時曝光製程。
在第二電漿流入處理體積170中以形成帶電物種雲的同時或緊接在其後,在另一操作510期間在基板支撐表面132上的基板在約75℃至約300℃的溫度下烘烤,諸如約100℃至約250℃。在操作510期間烘烤基板時,電場在基板支撐件130與阻擋屏114之間並且穿過第三電漿施加。第三電漿用於耦接電場並且實現對電場強度及基板上的後續抗蝕劑烘烤操作的改進控制。如本文描述的基板包括抗蝕劑層,諸如其上設置的光阻層。將熱量及電場施加到基板在曝光操作期間已經圖案化抗蝕劑層之後執行,其中抗蝕劑層曝露於輻射源以形成抗蝕劑層的曝露及未曝露部分。熱量及電場施加烘烤抗蝕劑層並且在預定方向上驅動抗蝕劑層內的帶電粒子以減少帶電粒子在不期望方向上的擴散。
產生的電場穿過帶電物種雲耦接到基板。帶電物種雲在基板150/基板支撐表面132與阻擋屏114之間額外傳導少量電流(約0.005 mA至約0.1 mA,諸如約0.005 mA至約0.05 mA)。已經發現少量電流改進烘烤結果,同時不會負面影響抗蝕劑層。
第6圖示出了根據另一實施例的第1圖的處理腔室100的一部分的示意性橫截面圖。第6圖的處理腔室100類似於第2圖的處理腔室100,但移除氣體分配裝置112、絕緣器板156、及阻擋屏114。第二擴散器110由此直接擱置在噴淋頭間隔件118及/或上部腔室主體116上,而不在第二擴散器110與基板支撐件130之間設置任何額外擴散板或噴淋頭。在此實施例中,第二擴散器110電氣耦接到電壓源260並且可具有向其施加的電力或電壓。第二擴散器110亦可藉由接地253來接地。接地253可用於保持第二擴散器110作為基本電壓值,同時電壓源260將電壓施加到第二擴散器110。基板支撐件130經供電或具有藉由電壓源260向其施加的電壓差。在此實施例中,第一電漿在第一電漿產生區域210中形成,但離子量穿過第二擴散器110減少以形成如本文先前描述的帶電物種雲。
第二擴散器110的厚度T及孔佈置經配置為有助於調變經過第二擴散器110的離子/電子的數量。在本文描述的實施例中,厚度T係其中形成孔/通道的第二擴散器110的中心部分的厚度。厚度T係約5 mm至約40 mm,諸如約5 mm至約10 mm、諸如約5 mm至約8 mm、諸如約5 mm至約7 mm。在一些實施例中,第二擴散器110的厚度T大於約6 mm,諸如大於約8 mm、諸如大於約10 mm、諸如大於約12 mm。在其中厚度T大於約10 mm的實施例中,孔的每一者的寬度可改變穿過第二擴散器110的部分途徑,使得當孔接近第二擴散器110的面向基板支撐件130的底表面時,孔變窄。孔的大小經調節以實現經過第二擴散器的離子的數量減少。
如上文描述,第二擴散器110的面向基板150的底表面由導電材料形成,諸如具有受控電阻率的金屬或半導體材料。第二擴散器110的頂表面背對基板150並且藉由介電層(未圖示)塗佈以減少或消除電流或電場在相對方向(向上)上定向到板堆疊的其他部分中。
在另一實施例中,第二擴散器110由介電材料形成,諸如陶瓷、石英或塑膠材料。在此實施例中第二擴散器110的底表面係面向基板150的金屬化表面。使第二擴散器110由具有金屬化表面的介電材料形成係有益的,因為穿過第二擴散器110形成的孔具有由介電材料製成的內表面。當使用具有金屬化底表面的介電第二擴散器110時,施加到面向基板150的底部金屬化表面的電壓將在處理體積170內穿過低離子密度電漿的電流及電場定向到基板150或從基板150定向並且穿過低離子密度電漿。
在又一實施例中,第二擴散器110由矽材料形成,諸如結晶矽材料,並且跨第二擴散器的厚度的電阻率藉由摻雜面向基板的表面來控制。
在一些實施例中,第二擴散器110包括穿過其設置的溫度控制通道121。溫度控制通道121外接含有氣體流動孔的第二擴散器110的部分。溫度控制通道121可用於冷卻或加熱第二擴散器110。在其中期望冷卻第二擴散器110的實施例中,溫度控制通道121係冷卻劑通道並且經配置為接收冷卻的液體,諸如水。在其中期望加熱第二擴散器110的實施例中,溫度控制通道121係加熱的通道並且經配置為接收加熱的氣體或流體。或者,加熱線圈可在溫度控制通道121內設置。存在溫度控制通道121在其中第二擴散器110具有較大厚度的實施例中係有益的。
第7圖示出了根據另一實施例的第1圖的處理腔室的一部分的示意性橫截面圖。第7圖的實施例類似於第6圖的實施例,但第二擴散器110藉由第二擴散器間隔件702與噴淋頭間隔件118及上部腔室主體116分離。第二擴散器間隔件702在噴淋頭間隔件118頂部上設置。第二擴散器間隔件702經配置為進一步分離第二擴散器110及基板支撐件130。第二擴散器間隔件702由導電材料形成,諸如鋁。第二擴散器間隔件702係環並且包括形成處理體積170的部分的中心開口。
第二擴散器間隔件702進一步包括其中設置的一或多個加熱元件704。一或多個加熱元件704外接處理體積170。
第8圖係在第7圖及第8圖的處理腔室內處理基板的方法800的流程圖。方法800實現在基板(諸如基板150)的抗蝕劑上形成場引導的曝光後烘烤。方法500包括在操作802期間使處理氣體流入電漿形成區域(諸如第一電漿產生區域210)中。流入電漿形成區域中的處理氣體係惰性氣體的混合物,諸如氦氣、氖氣、氬氣、氪氣、及/或氙氣。在一些實施例中,惰性氣體的混合物係氦氣及氬氣的一者或混合物。在本文描述的實施例中,流入電漿形成區域中的處理氣體由僅惰性或其他非反應性氣體組成,使得處理氣體僅包含一或多種惰性氣體。若利用其他氣體(諸如沉積前驅物、清潔氣體、或氧化前驅物),則可損壞或污染在基板上設置的抗蝕劑材料。
在使處理氣體流入電漿形成區域中之後,第一電漿在另一操作804期間在電漿形成區域內形成。第一電漿具有第一離子密度。第一離子密度電漿係高離子密度電漿,使得在第一電漿內的離子密度係約10 5個離子/cm 3至約10 10個離子/cm 3。類似地,第一電子密度係約10 5個電子/cm 3至約10 10個電子/cm 3。在電漿形成區域內,藉由源匹配裝置(諸如源匹配裝置138)在面板106與第二擴散器110之間施加的AC電壓具有約8 MHz至約20 MHz的頻率,諸如約10 MHz至約15 MHz。第一氣體保持在約0.5 Torr至約8 Torr的壓力下,諸如約1 Torr至約5 Torr。在第一電漿產生區域內時施加到處理氣體的電力係約25 W至約2000 W,諸如約50 W至約1500 W。在操作504期間,面板(諸如面板106)附接到電源,使得將RF電力施加到面板,同時第二擴散器(諸如第二擴散器110)電氣接地並且具有恆定電壓電位。
在形成第一電漿之後,在操作806期間,第一電漿流入處理體積中,諸如處理體積170。第一電漿穿過第二擴散器從電漿形成區域流入處理體積中。第二擴散器形成為處理體積的至少一部分,使得在第二擴散器與基板支撐件(諸如基板支撐件130)之間不設置額外擴散板或噴淋頭。形成處理體積的一部分的第二擴散器實現在基板支撐件與第二擴散器之間的電場的較佳耦接。另外,已經發現,如與利用額外擴散器及/或噴淋頭的其他實施例(諸如第2圖的實施例)相比,在板堆疊內的更少擴散器及噴淋頭實現在處理體積內更受控且增加的離子濃度。穿過第二擴散器流動第一電漿形成第二電漿。
第二電漿藉由穿過第二擴散器流動第一電漿來形成。由於第二擴散器用作SMD以阻擋至少一些離子流入處理體積中,第二電漿具有小於第一電漿的離子濃度的離子濃度。在第二擴散器與基板支撐件之間的電壓差係約0 V至約300 V,諸如約10 V至約250 V。電壓源260可進一步包括AC/DC波形控制,使得可施加DC電壓或具有小於或等於約7.5 kHz的頻率的AC電壓,諸如約0 kHz至約7.5 kHz的AC電壓。在一些實施例中,AC波形可具有DC偏移,使得信號峰不集中在約0 V。處理體積170內的壓力係約0.5 Torr至約10 Torr,諸如約0.5 Torr至約8 Torr,諸如約1 Torr至約5 Torr。
在處理體積內時第二電漿係帶電物種雲,使得在經過第二擴散器並且到處理體積中時第二電漿內的離子濃度減小。在帶電物種雲內的離子/電子藉由在第二擴散器與基板支撐件之間形成的電場控制。電場有助於控制第二電漿內的離子密度及離子能量位準,使得離子或電子密度係約10 4個離子/cm 3至約10 6個離子/cm 3。類似地,第二電漿內的電子密度係約10 4個電子/cm 3至約10 6個電子/cm 3。電荷物種雲內的帶電離子各自具有小於約1 eV的離子溫度,並且由此具有對在基板上設置的光阻劑的減小的影響。低電力第三電漿/帶電物種雲可在本文中稱為暗電漿。暗電漿係有益的,因為在利用暗電漿的曝光後烘烤操作期間光阻劑不經歷同時曝光製程。在第二電漿流入處理體積中以形成帶電物種雲的同時或緊接在其後,在另一操作808期間在基板支撐表面上的基板在約75℃至約300℃的溫度下烘烤,諸如約100℃至約250℃。
在操作808期間烘烤基板時,電場在基板支撐件與第二擴散器之間並且穿過第二電漿施加。第二電漿用於耦接電場並且實現對電場強度及基板上的後續抗蝕劑烘烤操作的改進控制。如本文描述的基板包括抗蝕劑層,諸如其上設置的光阻層。將加熱及電場施加到基板在曝光操作期間已經圖案化抗蝕劑層之後執行。加熱及電場施加烘烤抗蝕劑層並且在預定方向上驅動抗蝕劑層內的帶電粒子以減少帶電粒子在不期望方向上的擴散。
產生的電場穿過帶電物種雲耦接到基板。帶電物種雲在基板/基板支撐表面與第二擴散器之間額外傳導少量電流(約0.005 mA至約0.1 mA,諸如約0.005 mA至約0.05 mA)。已經發現少量電流改進烘烤結果,同時不會負面影響光阻劑。
本文描述了用於在處理體積內的基板之上形成低離子密度電漿的設備及方法。低離子密度電漿有助於實現在電極(諸如本文描述的噴淋頭或離子阻擋板)與基板或基板支撐件之間的均勻電場。低離子密度電漿呈現足夠低的離子密度以減少在場引導的曝光後烘烤操作期間對基板的損壞或缺陷,但足夠高以實現良好電場分配及耦接性質。
儘管上述內容涉及本揭示的實施例,本揭示的其他及進一步實施例可在不脫離其基本範疇的情況下設計,並且其範疇由以下申請專利範圍決定。
100:處理腔室 102:混合塊 103:饋通構件 104:第一擴散器 106:面板 108:絕緣器 110:第二擴散器 112:氣體分配裝置 114:阻擋屏 116:上部腔室主體 118:噴淋頭間隔件 119:上部腔室襯墊 120:下部腔室主體 122:泵送襯墊 124:開口 126:基板支撐組件 128:軸件 130:基板支撐件 132:頂表面 134:排氣充氣室 136:氣體源 138:源匹配裝置 140:流動定心插入件 142:氣體源 144:泵 146:電源 148:運動設備 150:傳遞通道 152:氣體源 154:波紋管 156:絕緣器板 160:傳遞通道 170:處理體積 202:開口 204:開口 206:第一充氣室 208:開口 210:第一電漿產生區域 121:溫度控制通道 212:第二充氣室 214:第二及/或第三組氣體通道 216:孔 218:第二電漿產生區域 220:開口 222:孔 224:緊固件 226:獨立孔 228:加熱裝置 230:氣體導管 232:邊緣環 234:底表面 240:密封環 242:穿孔底表面 244:頂表面 250:加熱器 253:接地 255:第二接地 260:電壓源 270:控制器 272:中央處理單元(CPU) 274:記憶體 276:支援電路 500:方法 502:操作 504:操作 506:操作 508:操作 510:操作 702:第二擴散器間隔件 704:加熱元件 800:方法 802:操作 804:操作 806:操作 808:操作 A:中心軸 A 0:中性電流 A 1:第一電流值 A 2:第二電流值 C:中心線 D:距離 D 1:距離 D 2:距離 D 3:距離 P 1:第一壓力 P 2:第二壓力 RF 1:第一RF電力值 RF 2:第二RF電力值 RF 3:第三RF電力值 T:厚度 V 1:第一電壓 V 2:第二電壓 V 3:第三電壓 V 4:第四電壓
為了能夠詳細理解本揭示的上述特徵所用方式,可參考實施例進行對上文簡要概述的本揭示的更特定描述,一些實施例在附圖中示出。然而,將注意,附圖僅示出示例性實施例,並且由此不被認為限制其範疇,且可允許其他等同有效的實施例。
第1圖示出了根據一個實施例的處理腔室的示意性橫截面圖。
第2圖示出了根據一個實施例的第1圖的處理腔室的一部分的示意性橫截面圖。
第3圖示出了根據一個實施例的使用不同壓力及電極分離距離的擊穿電壓的圖表。
第4A圖示出了根據一個實施例的在電極之間的變化的DC電壓差下的電極之間流動的電流的圖表。
第4B圖示出了根據另一實施例的在電極之間的變化的DC電壓差下的電極之間流動的電流的圖表。
第5圖係根據一個實施例的在第1圖的處理腔室內處理基板的方法的流程圖。
第6圖示出了根據另一實施例的第1圖的處理腔室的一部分的示意性橫截面圖。
第7圖示出了根據另一實施例的第1圖的處理腔室的一部分的示意性橫截面圖。
第8圖係根據一個實施例的處理基板的方法的流程圖。
為了便於理解,在可能的情況下,已使用的相同元件符號標識圖中共有的相同元件。可以預期,一個實施例的元件及特徵可有利地併入其他實施例中,而無需進一步敘述。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無
102:混合塊
103:饋通構件
104:第一擴散器
106:面板
108:絕緣器
110:第二擴散器
116:上部腔室主體
118:噴淋頭間隔件
119:上部腔室襯墊
120:下部腔室主體
121:溫度控制通道
122:泵送襯墊
124:開口
130:基板支撐件
132:頂表面
134:排氣充氣室
138:源匹配裝置
140:流動定心插入件
150:傳遞通道
160:傳遞通道
170:處理體積
202:開口
204:開口
206:第一充氣室
208:開口
210:第一電漿產生區域
226:獨立孔
228:加熱裝置
230:氣體導管
232:邊緣環
240:密封環
260:電壓源
270:控制器
272:中央處理單元(CPU)
274:記憶體
276:支援電路
A:中心軸
T:厚度

Claims (20)

  1. 一種適用於在半導體製造中使用的基板處理的設備,包含: 一面板; 一源匹配裝置,電氣耦接到該面板; 一擴散器,與該面板相對設置,一電漿形成體積在該面板與該擴散器的一第一側面之間設置; 一絕緣器,在該面板與該擴散器之間設置; 一處理體積,藉由該擴散器的一第二側面部分形成; 一基板支撐件,在與該擴散器相對的該處理體積內設置並且其中包含一或多個加熱裝置; 一電壓源,與該擴散器及該基板支撐件電氣通訊並且經配置為產生在該擴散器與該基板支撐件之間垂直定向的一電場;以及 一控制器,經配置為導致該設備執行以下操作: 使一處理氣體流入該電漿形成體積中; 形成具有一第一離子濃度的一第一電漿; 使該第一電漿穿過該擴散器流動以形成具有10 4個離子/cm 3至10 6個離子/cm 3的一第二離子濃度的一第二電漿; 在該擴散器與該基板支撐件之間施加一電壓差以跨該第二電漿形成一電場;以及 將在該基板支撐件上設置有一抗蝕劑層的一基板加熱到75℃至300℃的一溫度,同時施加該電場。
  2. 如請求項1所述之設備,其中該處理氣體係一惰性氣體。
  3. 如請求項1所述之設備,其中該第一電漿包含10 5個離子/cm 3至10 10個離子/cm 3的一第一離子濃度。
  4. 如請求項1所述之設備,進一步包含經配置為機械支撐該擴散器的一噴淋頭間隔件及從該噴淋頭間隔件徑向向內設置並且形成該處理體積的一部分的一上部腔室襯墊,該上部腔室襯墊由一陶瓷材料形成。
  5. 如請求項1所述之設備,其中該擴散器的一中心部分具有5 mm至40 mm的一厚度。
  6. 如請求項1所述之設備,其中該擴散器係電氣接地的。
  7. 一種處理一基板的方法,包含以下步驟: 使一處理氣體流入一電漿形成區域中; 在該電漿形成區域中由該處理氣體形成具有一第一離子密度的一第一電漿; 穿過一擴散器流動該第一電漿且到一處理區域中,並且形成具有小於該第一離子密度的一第二離子密度的一第二電漿; 跨一基板與該擴散器之間的該處理區域內的該第二電漿施加一電場;以及 使用在一基板支撐件內的一或多個加熱裝置藉由加熱該基板烘烤在該基板上形成的一抗蝕劑層,同時跨該基板施加該電場。
  8. 如請求項7所述之方法,其中該處理氣體係一惰性氣體。
  9. 如請求項8所述之方法,其中該惰性氣體係氦氣及氬氣的一者或一組合。
  10. 如請求項7所述之方法,其中將該基板加熱到75℃至300℃的一溫度。
  11. 如請求項7所述之方法,其中該第二電漿包含10 4個離子/cm 3至10 6個離子/cm 3的一第二離子濃度。
  12. 如請求項11所述之方法,其中該第一電漿包含10 5個離子/cm 3至10 10個離子/cm 3的一第一離子濃度。
  13. 如請求項7所述之方法,其中在該抗蝕劑層的該烘烤期間在該基板支撐件與該擴散器之間的一距離係2 mm至60 mm。
  14. 如請求項7所述之方法,其中一源匹配裝置電氣耦接到一面板且在形成該第一電漿期間將一RF電力施加到該面板,並且一電壓源與該擴散器及該基板支撐件電氣通訊且經配置為在該擴散器與該基板支撐件之間產生該電場。
  15. 一種處理一基板的方法,包含以下步驟: 使一惰性氣體流入一電漿形成區域中; 在該電漿形成區域中由該惰性氣體形成具有一第一離子密度的一第一電漿; 穿過一離子阻擋板流動該第一電漿並且到一處理區域中以形成具有10 4個離子/cm 3至10 6個離子/cm 3的一第二離子密度的一第二電漿; 跨一基板支撐件與該離子阻擋板之間的該處理區域內的該第二電漿施加一電場;以及 藉由使用一或多個加熱裝置加熱該基板烘烤在該基板支撐件上設置的一基板上形成的一抗蝕劑層,同時跨該基板施加該電場。
  16. 如請求項15所述之方法,其中該惰性氣體係氦氣及氬氣的一者或一組合。
  17. 如請求項15所述之方法,其中在該抗蝕劑層的該烘烤期間在該基板支撐件與該離子阻擋板之間的一距離係2 mm至60 mm。
  18. 如請求項15所述之方法,其中該抗蝕劑層係一曝露的抗蝕劑層。
  19. 如請求項15所述之方法,其中該第二電漿在該離子阻擋板與該基板之間傳導0.005 mA至0.1 mA的一電流。
  20. 如請求項15所述之方法,其中在該第二電漿內的該等離子具有小於1 eV的一離子溫度。
TW111112180A 2021-05-03 2022-03-30 曝露於輻射後處置基板的腔室及方法 TW202247280A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202163183598P 2021-05-03 2021-05-03
US63/183,598 2021-05-03
US17/531,108 US20220350251A1 (en) 2021-05-03 2021-11-19 Chamber and methods of treating a substrate after exposure to radiation
US17/531,108 2021-11-19

Publications (1)

Publication Number Publication Date
TW202247280A true TW202247280A (zh) 2022-12-01

Family

ID=83808351

Family Applications (1)

Application Number Title Priority Date Filing Date
TW111112180A TW202247280A (zh) 2021-05-03 2022-03-30 曝露於輻射後處置基板的腔室及方法

Country Status (5)

Country Link
US (1) US20220350251A1 (zh)
JP (1) JP2024518921A (zh)
KR (1) KR20240001253A (zh)
TW (1) TW202247280A (zh)
WO (1) WO2022235327A1 (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11373845B2 (en) * 2020-06-05 2022-06-28 Applied Materials, Inc. Methods and apparatus for symmetrical hollow cathode electrode and discharge mode for remote plasma processes

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1361604B1 (en) * 2001-01-22 2009-03-18 Tokyo Electron Limited Device and method for treatment
KR101046335B1 (ko) * 2008-07-29 2011-07-05 피에스케이 주식회사 할로우 캐소드 플라즈마 발생방법 및 할로우 캐소드플라즈마를 이용한 대면적 기판 처리방법
KR101279353B1 (ko) * 2011-03-10 2013-07-04 (주)제이하라 플라즈마 발생장치
JP5792364B1 (ja) * 2014-07-31 2015-10-07 株式会社日立国際電気 基板処理装置、チャンバリッドアセンブリ、半導体装置の製造方法、プログラム及び記録媒体
US10604841B2 (en) * 2016-12-14 2020-03-31 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition

Also Published As

Publication number Publication date
US20220350251A1 (en) 2022-11-03
JP2024518921A (ja) 2024-05-08
KR20240001253A (ko) 2024-01-03
WO2022235327A1 (en) 2022-11-10

Similar Documents

Publication Publication Date Title
US8012305B2 (en) Exhaust assembly for a plasma processing system
US5529657A (en) Plasma processing apparatus
JP2680338B2 (ja) 静電チャック装置
US7743731B2 (en) Reduced contaminant gas injection system and method of using
US8083961B2 (en) Method and system for controlling the uniformity of a ballistic electron beam by RF modulation
US7829469B2 (en) Method and system for uniformity control in ballistic electron beam enhanced plasma processing system
KR20110050438A (ko) 포토마스크 플라즈마 에칭시 인시츄 건식 세정을 위한 방법 및 장치
US20180158651A1 (en) Device for Treating an Object with Plasma
US20210151300A1 (en) Substrate processing apparatus and semiconductor device manufacturing method using the same
TW202247280A (zh) 曝露於輻射後處置基板的腔室及方法
US11721522B2 (en) Plasma processing method and plasma processing apparatus
KR20200052226A (ko) 피처리체의 처리 방법 및 플라즈마 처리 장치
KR20200051505A (ko) 배치대 및 기판 처리 장치
US20240085810A1 (en) Vacuum bake for euv lithography
TWI814810B (zh) 蝕刻有機區域之方法
JP7308110B2 (ja) シリコン酸化膜をエッチングする方法及びプラズマ処理装置
US11094551B2 (en) Plasma processing method and plasma processing apparatus
WO2024024373A1 (ja) 基板処理方法及び基板処理システム
WO2022181691A1 (ja) 基板処理方法及び基板処理装置
WO2024058135A1 (ja) 基板処理方法及び基板処理システム
TW202324635A (zh) 烘烤後冷卻基板的腔室和方法
JP2024001648A (ja) 基板処理方法、及び基板処理システム
TW202308466A (zh) 電漿處理方法、電漿處理裝置及電漿處理系統
JPH0456774A (ja) プラズマ反応装置
JPH0453134A (ja) 高周波バイアス電位測定用装置及び該装置の使用方法