TWI803138B - 半導體元件及其製造方法 - Google Patents

半導體元件及其製造方法 Download PDF

Info

Publication number
TWI803138B
TWI803138B TW111100311A TW111100311A TWI803138B TW I803138 B TWI803138 B TW I803138B TW 111100311 A TW111100311 A TW 111100311A TW 111100311 A TW111100311 A TW 111100311A TW I803138 B TWI803138 B TW I803138B
Authority
TW
Taiwan
Prior art keywords
layer
opening
dielectric layer
dielectric
patterning
Prior art date
Application number
TW111100311A
Other languages
English (en)
Other versions
TW202245058A (zh
Inventor
黃冠維
陳育裕
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202245058A publication Critical patent/TW202245058A/zh
Application granted granted Critical
Publication of TWI803138B publication Critical patent/TWI803138B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76819Smoothing of the dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5283Cross-sectional geometry
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Geometry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Bipolar Transistors (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)
  • Recrystallisation Techniques (AREA)

Abstract

一種可以應用以幫助在金屬化層中的介電層形成導線的圖案化製程被提供。在一個實施例中,第一次圖案化第一介面層,第一介面層位於介電層上方的第一硬遮罩層上方,第一次圖案化第一介面層形成第一開口,其被利用第一介電材料填充。在填充第一開口後第二次圖案化第一介面層,第二次圖案化第一介面層在第一介面層中形成第二開口,第二開口的至少一者暴露第一介電材料。移除第一介電材料,並且在移除第一介電材料後,利用第一介面層作為遮罩圖案化介電層使介電層被第二次圖案化,圖案化介電層延伸第二開口。

Description

半導體元件及其製造方法
本揭露是有關於一種半導體元件及其製造方法。
半導體元件被使用於多種電子裝置應用裝置,諸如,舉例來說,個人電腦、手機、數位相機以及其他電子設備。半導體元件通常通過依序沉積絕緣或介電層、導電層以及半導體材料層在半導體基材上方被製造,並且利用光微影圖案化多個材料層以形成電路元件以及元素在其上方。
半導體工業不斷提高多種電子元件的集成密度(例如,電晶體、二極體、電阻、電容等)並且通過不斷縮小最小特徵尺寸來實現導電佈線,以允許更多元件集成在給定區域中。
一種製造半導體元件的方法,方法包含:第一次圖案化第一層,第一層位於介電層上方的第一硬遮罩層上方,第一次圖案化第一層形成第一開口;利用第一介電層填充第一開口;在填充第一開口後第二次圖案化第一層,第二次圖案化第一層在第一層中形成第二開口,第二開口的至少一者暴露第一介電層;移除第一介電層;以及在移除第一介電層後利用第一層作為遮罩圖案化介電層,圖案化介電層延伸第二開口。
一種製造半導體元件的方法,方法包含:沈積第一層在第一介電層上方的硬遮罩層上方;嵌入第一介電層進入第一層;沈積光阻材料在第一層上方;圖案化光阻材料以形成第一線開口以及第二線開口,第一線開口至少部分位於第一介電層;通過第一線開口以及第二線開口圖案化硬遮罩層並保留第一介電層,第一介電層保護硬遮罩層的第一部位;利用硬遮罩層作為遮罩圖案化第一介電層,硬遮罩層的第一部位保護第一介電層的第二部位,圖案化第一介電層在第一介電層的第二部位的第一側形成第一開口並且在第一介電層的第二部位的第二側形成第二開口,第一開口不大於第二開口12奈米;以及利用導電材料填充第一開口以及第二開口。
一種半導體元件,包含:半導體基材以及金屬化層。金屬化層上覆半導體基材。金屬化層包含:第一介 電層、第一導電線以及第二導電線。第一導電線嵌入第一介電層。第二導電線嵌入第一介電層。在俯視視角中第一介電層連續地圍繞第一導電線以及第二導電線。第二導電線與第一導電線隔開不超過15奈米。
101:第一蝕刻停止層
103:導電元素
105,909,1001,1003,1005,1007,1009,1011,1013,1015:金屬化層
107:半導體基材
109:主動元件
115:第一介電層
117:第一層
119:第一硬遮罩層
121:第二層
123:第一光阻
125:底部抗反射層
127:第一中間遮罩層
129:頂部光敏層
131:第一開口
133:第二開口
201:第一介電材料
401:第二光阻
403:第二底部抗反射層
405:第二中間遮罩層
407:第三底部抗反射層
409:第二頂部光敏層
411:第三開口
703:第一導電材料
801:第一導電線
803:切割部位
901:通孔開口
903:溝槽開口
905:第二通孔
907:第二導電線
A-A’:線
W1,W2,W3:寬度
L1,L2,L3:長度
D1,D2:距離
T1:厚度
當結合隨附諸圖閱讀時,得以自以下詳細描述最佳地理解本揭露之態樣。應注意,根據行業上之標準實務,各種特徵未按比例繪製。事實上,為了論述清楚,可任意地增大或減小各種特徵之尺寸。
第1A圖至第1B圖為根據本揭露其中一實施例中圖案化光阻之示意圖。
第2A圖至第2B圖為根據本揭露其中一實施例中沉積第一介電材料之示意圖。
第3A圖至第3B圖為根據本揭露其中一實施例中平坦化第一介電材料之示意圖。
第4A圖至第4B圖為根據本揭露其中一實施例中圖案第二光阻的示意圖。
第5A圖至第5B圖為根據本揭露其中一實施例中圖案化第一介面層的示意圖。
第6A圖至第6B圖為根據本揭露其中一實施例中圖案化硬遮罩層的示意圖。
第7圖為根據本揭露其中一實施例中沉積導電材料的 示意圖。
第8A圖至第8B圖為根據本揭露其中一實施例中平坦化導電材料的示意圖。
第9A圖至第9B圖為根據本揭露其中一實施例中雙鑲嵌製程的示意圖。
第10圖為根據本揭露其中一實施例中形成多個金屬化層的示意圖。
以下揭露內容提供用於實施所提供標的之不同特徵的許多不同實施例或實例。以下描述部件及佈置之特定實例以簡化本揭露。當然,此些僅為實例,且並不意欲為限制性的。舉例而言,在如下描述中第一特徵在第二特徵之上或在第二特徵上形成可包括其中第一特徵與第二特徵形成為直接接觸之實施例,且亦可包括其中額外特徵可在第一特徵與第二特徵之間形成而使得第一特徵與第二特徵可不直接接觸的實施例。另外,本揭露可在各種實例中重複元件符號及/或字母。此重複係出於簡化及清楚目的,且其自身並不表示所論述之各種實施例及/或配置之間的關係。
另外,為了描述簡單,可在本文中使用諸如「在……下面」、「在……下方」、「下部」、「在……上方」、「上部」及其類似術語之空間相對術語,以描 述如諸圖中所示的一個元件或特徵與另一(另外)元件或特徵的關係。除了諸圖中所描繪之定向以外,此些空間相對術語意欲涵蓋元件在使用中或操作中之不同定向。裝置可以其他方式定向(旋轉90度或以其他定向),且可同樣相應地解釋本文中所使用之空間相對描述詞。
多個有關於線路互連集成製成的後端的實施例將會在下文被描述,其表現出更佳的吞吐量、更少的缺陷以及更低的成本,以幫助實現小於,例如,12nm,的臨界尺寸。然而,本文所提供的想法,並不意指在限制本文在此處或在之後所具體討論的實施例,並且本文所提供的想法可以被移植到多種的實施例中,包含製造3nm節點、2nm節點、或更小尺寸的製程。所有此種實施例意在完全被包括在本文所呈現的描述範圍內。
現在參照第1A圖至第1B圖,其中第1A圖為第1B圖自上而下的沿著線A-A’的剖面視角,其中繪示的第一蝕刻停止層101在位於半導體基材107上的導電元素103(在金屬化層105內)上。在其中一個實施例中,半導體基材107可以包含摻雜或未摻雜的塊材矽、或絕緣層上覆矽(silicon-on-insulator,SOI)基材之上的主動層。一般來說,SOI基材包含一層半導體材料例如,矽、鍺、矽鍺、SOI、絕緣層上覆矽鍺(silicon germanium on insulator,SGOI)、或其組合。其他可被使用的基材包含多層基材、梯度基材、或混合定 向基材。
主動元件109可以被形成在半導體基材107上。在一個實施例中,主動元件109可以包含多種主動元件109,例如電晶體(平面式電晶體、鰭式電晶體、多通道電晶體、奈米結構電晶體、其組合、或其類似者)與其類似者以及被動元件,例如電容、電阻、電感以及可以用於產生設計所需的結構及功能的其類似者。主動元件109以及被動元件可以藉由任何合適的方法被形成在半導體基材107內部或上方。
金屬化層105被形成在半導體基材107上並且主動元件109被設計以與多種主動元件109連接以形成設計所需的功能性電路。在一個實施例中,金屬化層105由多個介電與導電材料層組成,並且可以藉由任何合適的方法(例如沉積、鑲嵌、雙鑲嵌等)被形成。在一個實施例中,可以具有第一層間介電層(first interlayer dielectric layer,ILD)、具有第二ILD的第一金屬化層以及嵌入在第二ILD中的接點、以及位於第二ILD上的第三ILD。
導電元素103可以被形成在金屬化層105的上部位,並且導電元素103是一個第一導電線801(未繪示於第1A圖中但繪示並且描述於下文關於第8A圖處)會進行物理以及電性接觸的區域。在一個實施例中,導電元素103可以為例如銅的材料,並且藉由例如,單鑲 嵌或雙鑲嵌製程被形成,並且據此一個開口被形成在金屬化層105的上部位中,開口被導電材料,例如銅,填充並/或過度填充,並且平坦化製程被執行以將導電材料嵌入金屬化層105中。然而,任意合適的製程可以被用於形成導電元素103。
第1A圖額外的繪示將第一蝕刻停止層101放置在金屬化層105上。在一個實施例中,第一蝕刻停止層101可以由氮化矽藉由電漿增強化學氣相沉積(plasma enhanced chemical vapor deposition,PECVD)被形成,然而其他材料,諸如SiON、SiCON、SiC、SiOC、SiCxNy、SiOx、其他介電質、其組合、或其類似者,並且形成第一蝕刻停止層101替代技術,諸如低壓CVD(low pressure CVD)、PVD、或其類似者,可被替代地使用。第一蝕刻停止層101可以具有介於約5Å至約200Å之間或介於5Å至約50Å之間的厚度。
當第一蝕刻停止層101被沉積之後,第一介電層115被沉積在第一蝕刻停止層101上。第一介電層115可以被一種或多種合適的介電材料,諸如氧化矽、氮化矽、低k介電質,諸如碳摻雜氧化物、極低k介電質,諸如硼碳摻雜二氧化矽、其組合、或其類似者製成。第一介電層115可以藉由製程,諸如化學氣相沉積(chemical vapor deposition,CVD)被形成,然 而其他任何合適製程也可被應用。
在第一介電層115被沉積之後,第一層117可以被沉積以為多個附加層做預備。在一個實施例中,第一層117可以為氧化材料,諸如藉由前驅物,諸如TEOS,所形成的氧化矽、其他氧化物、氮化矽、其他氮化物、其組合、或其類似者,並藉由製程,諸如化學氣相沉積、物理氣相沉積、原子層沉積、其組合、或其類似者所形成。第一層117可以沉積至具有介於約100Å至約400Å之間的厚度。然而,任何合適的材料、沉積方法以及厚度皆可以被應用。
第一硬遮罩層119可以接著被沉積在第一層117上。第一硬遮罩層119可以為具有相對於第一層117的材料具有足夠選擇性(基於使用的蝕刻劑來說)的材料,諸如使第一層117對第一硬遮罩層119的選擇性大於3.0的材料。在特定一些實施例中,第一硬遮罩層119可以為諸如氮化鈦(TiN)、氧化鈦(TiO)、摻鎢碳化物(WDC)其組合、或其類似者的材料,並藉由製程諸如化學氣相沉積、物理氣相沉積、原子層沉積、其組合、或其類似者所沉積。除此之外,第一硬遮罩層119的材料可以沉積至具有介於約100Å至約350Å之間的厚度。然而,任何合適的材料、沉積方法以及厚度皆可以被應用。
在第一硬遮罩層119被沉積後,第二層121可 以被沉積以為多個附加層做預備。在一個實施例中,第二層121可以為具有相對於第一硬遮罩層119的材料具有足夠選擇性(基於所需的蝕刻劑來說)的材料,諸如選擇性大於5.0的材料。在一些特定實施例中,第二層121可以為氧化材料,諸如藉由前驅物,諸如TEOS,所形成的氧化矽、其他氧化物、氮化矽、其他氮化物、其組合、或其類似者,並藉由製程,諸如化學氣相沉積、物理氣相沉積、原子層沉積、其組合、或其類似者所形成。第二層121可以沉積至具有介於約100Å至約400Å之間的厚度。然而,任何合適的材料、沉積方法以及厚度皆可以被應用。
在第二層121被設置後,第一圖案化製程可以通過在第二層121上施加第一光阻123而被引發。在一個實施例中,第一光阻123可以為具有底部抗反射(bottom anti-reflective coating,BARC)層125、第一中間遮罩層127以及頂部光敏層129的三層光阻。BARC層125被施加以為附加頂部光敏層129做預備。BARC層125,如其名稱,具有在暴露頂部光敏層129時,預防不受控制和未預期的能量(例如,光)反射至上方的頂部光敏層129的功用,並以此預防反射光線在頂部光敏層129不需要的區域中引起反應。除此之外,BARC層125可以用於提供平坦表面,以幫助減少能量以一定角度撞擊所產生的負面影響。
第一中間遮罩層127可以設置在BARC層125上。在一個實施例中,第一中間遮罩層127為硬遮罩材料,諸如氮化矽、氧化物、氮氧化物、碳化矽、非晶矽、其組合、或其類似者。第一中間遮罩層127的硬遮罩材料可以藉由製程諸如化學氣相蝕刻(chemical vapor deposition,CVD)形成,然而其他製程,諸如電漿增強化學氣相蝕刻(plasma enhanced chemical vapor deposition,PECVD)、低壓化學氣相蝕刻(low pressure chemical vapor deposition,LPCVD)、旋塗、或均勻氧化矽形成後進行氮化,也可以被應用。任何合適的方法或其組合以形成或設置硬遮罩材料皆可被應用,並且所有方法或其組合完全意在本揭露所包括的實施例的範圍中。第一中間遮罩層127可以形成至具有介於約50Å至約500Å之間的厚度,例如,約300Å。
在一個實施例中,頂部光敏層129被施加在第一中間遮罩層127上,利用諸如,旋塗製程,並且包含在光阻溶劑中沿著一或多個光敏感化合物(photoactive compounds,PACs)的光阻聚合物樹脂。PACs將吸收圖案化光源並且在頂部光敏層129被暴露的這些區域產生反應物,藉此與光阻聚合物樹脂產生隨後的反應以進行顯影以複製頂部光敏層129的圖案化能源。
當每一個BARC層125、第一中間遮罩層127以及頂部光敏層129被施加後,頂部光敏層129被暴露在圖案化能源(例如,光)中,並且開發以在頂部光敏層129中形成第一開口131。在一個實施例中,在頂部光敏層129中的第一開口131被圖案化以具有第一寬度W1,其在介於約20nm至約200nm之間。然而,任意合適的寬度可以被應用。
第1B圖以由上至下的視角繪示第一開口131穿過頂部光敏層129以暴露底部的第一中間遮罩層127。第一開口131(如後續圖示所示)可以被應用以形成在第一導電線801中的單一切割部位803。可以同時在此視角看到,第一開口131具有第一寬度W1,並且可以額外地具有第一長度L1在介於約7nm以及約20nm之間,諸如約14nm或約12nm。然而,任意合適的維度皆可被應用。
第1B圖也繪示第二開口133,可以藉由頂部光敏層129所暴露的第一中間遮罩層127被形成。第二開口133(如後續圖示所示)可以與第一開口131(僅位於不同位置)相同或者也可以被應用以使用相同開口(例如,第二開口133)在第一導電線801中形成多個切割部位803。在一個實施例中,第二開口133可以被形成以具有在介於約20nm以及約200nm之間的第二寬度W2,以及具有在介於約7nm以及約20nm之間的 第二長度L2。然而,任意合適的維度皆可被應用。
第2A圖至第2B圖繪示利用光阻123作為遮罩的第二層121的圖案化,其中第2A圖為第2B圖以由上至下的沿著線A-A’的剖面視角。為了明確表示,所有位於第一蝕刻停止層101下方的結構自圖中被移除。在一個實施例中,頂部光敏層129在一個或多個蝕刻製程中被應用為遮罩,諸如反應離子蝕刻製程,以在延伸第一開口131以及第二開口133進入第二層之前,依序地蝕刻穿過第一中間遮罩層127以及BARC層125。如此一來,藉由延伸第一開口131以及第二開口133進入第二層121,第二層121中的第一開口131以及第二開口133將具有與位於頂部光敏層129第一開口131以及第二開口133類似的維度。然而,任意適合的維度皆可以被應用。
第2A圖至第2B圖額外地繪示,在圖案化第一中間遮罩層127、及/或BARC層125、及/或第二層121時,頂部光敏層129可以被消耗。舉例來說,蝕刻物可應用於圖案化第一中間遮罩層127、及/或BARC層125、及/或第二層121並且同時蝕刻頂部光敏層129,雖然是以較小的速度。如此一來,當頂部光敏層129仍作為遮罩使用,在第二層121圖案化結束後頂部光敏層129將可以被完全移除。若頂部光敏層129未被完全移除,可選擇執行的灰化製程可以被應用以移除頂部光敏 層129。然而,其他任何合適的製程可以被應用以移除頂部光敏層129。此外,當頂部光敏層129被移除後,第一中間遮罩層127以及BARC層125可以被移除。在一個實施例中,第一中間遮罩層127以及BARC層125可以藉由一次或多次蝕刻被移除,諸如濕式蝕刻或乾式蝕刻,其應用對第一中間遮罩層127以及BARC層125具有選擇性的蝕刻物。然而,任何合適的用以移除第一中間遮罩層127以及BARC層125的方法皆可以被應用。
最後,當BARC層125被移除並且其下的第二層121(具有第一開口131以及第二開口133位於第二層121中)被暴露後,第一介電材料201被沉積以填充及/或過度填充第一開口131以及第二開口133。在一個實施例中,第一介電材料201可以為具有使位於下方的第二層121的材料以及位於下方的硬遮罩層119的材料的選擇性蝕刻率大於5.0的材料,諸如大於30,第一介電材料201可為諸如氧化鈦、氮化鈦、氮化矽、其組合、或其類似者,並且可以藉由製程,諸如原子層沉積、物理氣相沉積、化學氣相沉積、其組合、或其類似者所沉積。第一介電材料201可以沉積至具有介於約50Å至約200Å之間的厚度。然而,任意合適的材料、沉積方法以及厚度皆可以被應用。
第2B圖以由上至下的視角繪示第一介電材料 201的沉積。當第一介電材料201被沉積以覆蓋第二層121的整個頂部表面,為了方便起見,第一開口131以及第二開口133被繪示為以虛線框代表的下方特徵。如其所示,位於第二層121的第一開口131以及第二開口133(在蝕刻極限下)保留了與位於頂部光敏層129的第一開口131以及第二開口133相同的形狀以及尺寸。
第3A至第3B圖繪示當地一開口131與第二開口133藉由第一介電材料201被填充及/或過度填充後,第一介電材料201被第二層121平坦化,其中第3A圖為第3B圖由上至下沿著線A-A’的剖面視角。在一個實施例中,並依介電材料201為氧化鈦,第一介電材料201可以藉由回蝕製程被平坦化,諸如使用蝕刻物或多種蝕刻物化合物,諸如甲烷(CH4)、氯(Cl2)、四氟碳(CF4)、溴化氫(HBr)、其組合、或其類似者,並連同任何所需的稀釋劑或氣體載體,諸如氬氣以及氮氣,所執行的氣相回蝕。然而,任何蝕刻劑及/或稀釋劑皆可以被應用。
然而,上述的回蝕製程並非唯一可應用於平坦化製程的方式。在其他一些實施例中,平坦化製程可以藉由化學機械拋光製程或研磨製程被執行,以使第一介電材料201被第二層121平坦化。任何合適的平坦化製程可以被用於移除第一介電材料201的多餘部位並且使第一介電材料201被第二層121平坦化。
在一個特定實施例中,第二層121為藉由TEOS作為前驅物製成並具有厚度為約250Å的氧化物,並且第一硬遮罩層119為摻鎢碳化物材料並具有厚度為約180Å,第一介電材料201的材料可以為諸如具有(在平坦化後)厚度為約100Å的氧化鈦。在另外一個特定實施例中,第二層121為具有厚度為約250Å的氮化物,諸如氮化矽,並且第一硬遮罩層119為摻鎢碳化物材料並具有厚度為約180Å,第一介電材料201的材料可以為諸如具有(在平坦化後)厚度為約100Å的氧化鈦。然而,其他合適的材料組合以及任何合適的厚度皆可以被應用。
第3B圖以自上而下的視角繪示第一介電材料201的平坦化。由圖中可見,在平坦化後,位於第二層121中的第一開口131以及第二開口133填充了第一介電材料201,並且位於第一開口131以及第二開口133中的第一介電材料201具有與第一開口131以及第二開口133相同的形狀以及尺寸。
第4A圖至第4B圖繪示引發用於圖案化第二層121的第二圖案化製程,其中第4A圖為第4B圖由上至下的沿著線A-A’的剖面視角。在第二圖案化製程中,第二光阻401被設置並且使用嵌入在第二層121中的第一介電材料201圖案化第二層121。在一個實施例中,第二光阻401被設計以暴露在極紫外光(extreme ultraviolet,EUV)下並且可以為,諸如,四層光阻,其中第二光阻401包含第二BARC層403、第二中間遮罩層405、第三BARC層407以及第二頂部光敏層409。然而,任何合適的光阻以及任何合適數目的層皆可以被應用。
第二BARC層403被施加以為施加第二頂部光敏層409做預備。第二BARC層403,如其名稱,具有在暴露第二頂部光敏層409時,預防不受控制和未預期的能量(例如,光)反射至上方的第二頂部光敏層409的功用,並以此預防反射光線在第二頂部光敏層409不需要的區域中引起反應。除此之外,第二BARC層403可以用於提供平坦表面,以幫助減少能量以一定角度撞擊所產生的負面影響。
第二中間遮罩層405可以設置在第二BARC層403上。在一個實施例中,第二中間遮罩層405為硬遮罩材料,諸如氮化矽、氧化物、氮氧化物、碳化矽、其組合、或其類似者。第二中間遮罩層405的硬遮罩材料可以藉由諸如化學氣相蝕刻(chemical vapor deposition,CVD)形成,然而其他製程,諸如電漿增強化學氣相蝕刻(plasma enhanced chemical vapor deposition,PECVD)、低壓化學氣相蝕刻(low pressure chemical vapor deposition,LPCVD)、旋塗、或均勻氧化矽形成後進行氮化,也可 以被應用。任何合適的方法或其組合以形成或設置硬遮罩材料皆可被應用,並且所有方法或其組合完全意在本揭露所包括的實施例的範圍中。第二中間遮罩層405可以形成至具有介於約100Å至約800Å之間的厚度,例如,約300Å。
除此之外,在一些實施例中,當第二中間遮罩層405以化學氣相沉積製程被沉積時(例如,當使用CVD幫助提高第二中間遮罩層405的蝕刻選擇性時),第二中間遮罩層405與預期位於其上方的第二頂部光敏層409之間的附著力可能會降低。如此一來,第三BARC層407被沉積以提升第二中間遮罩層405與第二頂部光敏層409之間的附著力。在一個實施例中,第三BARC層407可以類似於第二BARC層403,然而在其他實施例中,第三BARC層407可以為不同者。
第二頂部光敏層409藉由,諸如,旋塗製程,被施加在第三BARC層407上,並且包含在光阻溶劑中沿著一或多個光敏感化合物(photoactive compounds,PACs)的光阻聚合物樹脂。PACs將吸收圖案化光源並且在第二頂部光敏層409被暴露的這些區域產生反應物,藉此與光阻聚合物樹脂產生隨後的反應以進行顯影以複製第二頂部光敏層409的圖案化能源。
當第二BARC層403、第二中間遮罩層405、 第三BARC層407以及第二頂部光敏層409被施加後,第二頂部光敏層409被暴露在圖案化能源(例如,極紫外(extreme ultraviolet,EUV)光)中,並且開發以在第二頂部光敏層409中形成第三開口411。在一個實施例中,在第二頂部光敏層409中的第三開口411被圖案化以具有第三寬度W3,其在介於約20nm至約200nm之間。然而,任意合適的寬度可以被應用。
第4B圖以由上至下的視角繪示第三開口411穿過第二頂部光敏層409以暴露底部的第三BARC層407。也可以在此視角中看到,第一開口131具有第三寬度W3(其可以小於第一寬度W1)。此外,第三開口411可能會超出第4B圖中的視角。然而,任意合適的維度皆可被應用。
第4B圖也繪示第三開口411可以以不同方式被形成。舉例來說,第一個第三開口411可以形成在第一開口131中的第一介電材料201上,並且此第一個第三開口411可以為唯一形成在第一開口131中的第一介電材料201上的第三開口411。然而,第二個以及第三個第三開口411可以被形成在第二開口133中的第一介電材料201上,使得多個第三開口411位在第二開口133中的第一介電材料201的相同區段上。任何位在下方多個開口中的第一介電材料201上的合適的第三開口411組合皆可被應用,並且所有組合完全意在本文所包 括的實施例的範圍內。
第5A圖至第5B圖繪示利用第二光阻401作為遮罩以圖案化第二層121,其中第5A圖為第5B圖以由上至下的沿著線A-A’的剖面視角。在一個實施例中,第二頂部光敏層409在一個或多個蝕刻製程中被應用為遮罩,諸如反應離子蝕刻製程,以依序地蝕刻穿過第三BARC層407、第二中間遮罩層405以及第二BARC層403。
除此之外,當第二BARC層403被圖案化後,第三開口411被延伸進入第二層121。在特定一個實施例中,第二層121可以為藉由TEOS所形成的氧化物,延伸製程可以以諸如,應用蝕刻物,諸如CF4、CHF3、CH2F2以及C4F6,並連同任何所需的稀釋劑或氣體載體,諸如氬氣、氮氣及/或氦氣,的反應離子蝕刻製程被執行。如此一來,藉由延伸第三開口411進入第二層121,在第二層121中的第三開口411將具有與位於第二頂部光敏層409中的第三開口411相同的維度。然而,任何合適的維度皆可以被應用。
第5A圖至第5B圖額外地繪示,在圖案化第三BARC層407、第二中間遮罩層405、第二BARC層403、及/或第二層121時,第二頂部光敏層409可以被消耗。舉例來說,蝕刻物可應用於圖案化第三BARC層407、第二中間遮罩層405、第二BARC層403、 及/或第二層121也同時蝕刻第二頂部光敏層409,雖然是以較小的速度。如此一來,當第二頂部光敏層409仍作為遮罩使用,在第二層121圖案化結束後第二頂部光敏層409將可以被完全移除。若第二頂部光敏層409未被完全移除,可選擇執行的灰化製程可以被應用以移除第二頂部光敏層409。然而,其他任何合適的製程可以被應用以移除第二頂部光敏層409。
此外,當第二頂部光敏層409被移除後,第三BARC層407以及第二中間遮罩層405可以被移除。在一個實施例中,第三BARC層407以及第二中間遮罩層405可以藉由一次或多次蝕刻被移除,諸如濕式蝕刻或乾式蝕刻,其應用對第三BARC層407以及第二中間遮罩層405具有選擇性的蝕刻物。然而,任何合適的用以移除第三BARC層407以及第二中間遮罩層405的方法皆可以被應用。
此外,可最佳地在地5A圖中看到,在第一介電材料以存在的位置中,延伸穿過第二層121的第三開口411被縮減或完全消除,以預防第三開口411完全延伸穿過第二層。舉例來說,在一些實施例中,第二圖案化製程可以在第一介電材料201中蝕刻至第一距離D1,其介於約0nm至約10nm之間。然而,任意合適的深度,包含深度為零者,皆可以被使用。
第5B圖繪示以由上至下的視角繪示第三開口 411在第三開口411被延伸穿過第二BARC層403以及第二層121,以暴露下方的第一介電材料201或下方的第一硬遮罩層119。可以在此視角看到,這些位在第一硬遮罩119暴露的部位上的第三開口411的部位具有預期的第一導電線801的預期形狀(在由上至下的視角中),並且這些位在第一硬遮罩119暴露的部位上的第三開口411的部位具有在第一導電線801上預期的「切割部位803」的預期形狀(在由上至下的視角中)。
第6A圖至第6B圖繪示,當第三開口411可以被延伸穿過第二層121(除了覆蓋在第一介電材料201上的第三開口411的那些部位),下方第一硬遮罩層119可以藉由第二層121作為遮罩而被圖案化,其中第6A圖為第6B圖以由上至下的沿著線A-A’的剖面視角。在一個實施例中,第一硬遮罩層119可以藉由非等向性蝕刻製程,諸如使用對第一硬遮罩層119具有選擇性的蝕刻物進行反應離子蝕刻,而被圖案化。在特定一個實施例中,第一硬遮罩119為摻鎢碳化物,第一硬遮罩119可以藉由包含三氟化氮(NF3)、氯(Cl2)以及氧氣(O2)的蝕刻物組合而被圖案化,其可以具有或不具有稀釋劑或氣體載體,諸如氬氣、氮氣或氦氣。然而,任何合適的蝕刻劑以及任何合適的製程皆可以被應用。
除此之外,在一些實施例中,圖案化第一硬遮罩 層119也將移除預先嵌入第二層121中的第一介電材料201。在另外一些實施例中,當圖案化第一硬遮罩層119未移除或未完全移除,預先嵌入第二層121中的第一介電材料201,一種分離的蝕刻製程,諸如包含使用對於第一硬遮罩層119具有選擇性蝕刻的蝕刻物的濕式蝕刻製程或乾式蝕刻製程,可以被應用以移除第一介電材料201。任何合適的方法皆可被應用。
然而,由於在圖案化第一硬遮罩層119的過程中存在有第一介電材料201,第一硬遮罩層119被第一介電材料201覆蓋的部位在蝕刻製程中,至少在第一介電材料201被完全移除之前被保護。如此一來,即使第三開口411存在於第一介電材料201上,這些第一硬遮罩層119的部位仍被留下。
第6B圖以自上而下的視角繪示此製程。可以看到,第三開口411被以與第一導電線801預想的相同形狀被形成在第二層121中。進一步來說,在第三開口411中,這些未被第一介電材料201所保護的部位,被蝕刻並暴露下方的第一層117。然而,這些第三開口411中被第一介電材料201保護的位置,被蝕刻並僅暴露下方的第一硬遮罩層119而未暴露第一層117。如此一來,第一硬遮罩層119仍然存在於稍後將形成的第一導電線801希望彼此分隔(例如,「切割」)的地方,並且其可以具有第三長度L3,其具有介於約5nm至約20nm 之間的長度,例如約12nm。
第7圖繪示,當第一硬遮罩層119被圖案化之後,第一硬遮罩層119被應用在第三開口411延伸穿過第一層117、第一介電層115以及第一蝕刻停止層101。在一個實施例中,第三開口411延伸穿過第一層117是藉由,諸如,一次或多次非等向性蝕刻製程,諸如反應離子蝕刻製程,以及使用對第一層117具有選擇性蝕刻性的蝕刻物的濕式蝕刻製程。然而,任意合適製程可以被應用。
當第三開口411被延伸穿過第一層117,第一層117(連同第一硬遮罩層119,若其存在),被做為遮罩以延伸第三開口411進入及/或穿過第一介電層115,藉此為第一導電線801在由第一介電材料201保護的第一介電層115的那部位的兩側形成開口。在一個實施例中,第三開口411可以藉由一次或多次非等向性蝕刻,諸如使用對第一介電層115的材料具有選擇性蝕刻性的蝕刻物的反應離子蝕刻製程,被延伸。然而,任何合適的製程皆可以被應用。
最後,當第三開口411被延伸穿過第一介電層115時,第一介電層115以及第一層117(連同第一硬遮罩層119,若其存在),被作為遮罩以延伸第三開口411進入及/或穿過第一蝕刻停止層101以暴露下方導電元素103的部位(未在第7圖中單獨繪示)。
第7圖額外地繪示,當第三開口411被沿琛已暴露下方導電元素103的部位時,第一導電材料703被沉積以填充及/或過度填充第三開口411。在一個實施例中,第一導電材料703可以包含第一阻擋層以及導電填充材料。第一阻擋層可以被沉積以幫助隔離並保護隨後形成的導電填充材料。在一個實施例中,第一阻擋層可以包含阻擋材料,諸如鈦、氮化鈦、其組合、或其類似者,並且可以藉由諸如化學氣相蝕刻(chemical vapor deposition,CVD)、物理氣相蝕刻(physical vapor deposition,PVD)、原子層沉積(atomic layer deposition,ALD)、或其類似者,而被形成。第一阻擋層可以被形成至具有介於約0.1μm至約20μm之間的厚度,例如,約0.5μm。
當第一阻擋層被形成之後,第三開口411被導電填充材料填充。導電填充材料可以包含銅,然而其他合適材料諸如鋁、合金、摻雜多晶矽、其組合、或其類似者,也可被應用。導電填充材料可藉由沉積晶種層(未單獨繪示)形成,再於晶種層上電鍍銅,並且填充並過度填充第三開口411。然而,任何合適的導電材料、或其組合可以被應用。
第8A圖至第8B圖繪示,在第一導電材料703被沉積以填充及/或過度填充第三開口411後,第一導電材料703可以被第一介電層115平坦化,其中第8A圖 為第5B圖以由上至下的沿著線A-A’的剖面視角。在一個實施例中,第一導電材料703可以藉由平坦化製程諸如化學機械拋光、研磨、回蝕製程、其組合、或其類似者,而被平坦化至第一厚度T1,其具有介於約100nm至約450nm之間的厚度。除此之外,平坦化製程也可以移除第一層117以暴露第一介電層115的頂表面。然而,其他合適製程也可以被應用。
藉由穿過第一介電層115形成第三開口411,藉由第一導電材料703填充第三開口411,並且平坦化第一導電材料703,第一導電線801被形成並與下方導電元素103電性連接。如此一來,第一導電線801的單鑲嵌結構被執行以將導電元素103與隨後形成的覆蓋元件電性連接。
第8B圖以由上至下的視角的繪示在第8A圖中的第一導電線801。從此圖可以看到,第一導電線801根據需要被形成在第一介電層115中。然而,藉由使用第一介電材料201作為遮罩以幫助保護第一硬遮罩層119的特定部位,第一介電層115的切割部位803(在第8B圖中以虛線區段標示,即使可能沒有物理分離的跡象)存在於第一導電線801中的不同區段之間。
然而,由於切割部位803藉由上述製程(諸如,藉由第一圖案化製程並接著設置第一介電材料201)被創造,切割部位803可以具有第二距離D2,其遠小於 前述製程中的長度。舉例來說,當前述製程甚至無法達到15nm的第二距離D2,使用本文所描述的製程允許第二距離D2收縮至小於15nm,諸如12nm或更小。
除此之外,藉由所描述的製程,其餘,其他製程所造成的未預期形狀可以被避免。具體來說,在一些製程中切割第一導電線801可以造成不規則形狀,諸如鳥嘴型或喇叭型缺陷,的產生。此種不規則形狀可以造成後續製造製程中的額外問題。如此一來,藉由移除此種不規則形狀,並且創造具有規則形狀的第一導電線801,總體的製造製程可被簡化並且更好地被控制。
第9A圖至第9B圖繪示可以與第二層121一起被使用於製作可連同下方的導電元素103電連接的第一導電線801的另一個製程(連通相應的切割部位803)。在此實施例中,然而,為了形成第二通孔905以及第二導電線907,雙鑲嵌製程被應用以取代在單鑲嵌製程中使用第二層121為第一導電線801形成單一尺寸的開口。
在此實施例中,請先參照第9A圖,在通孔第一製程或溝層第一製程中,通孔開口901以及溝槽開口903藉由將第二層121作為遮罩而被形成在第一介電層115中。舉例來說,在通孔第一製程中,第一光蝕刻遮罩以及蝕刻製程被應用以形成部分地或完整地穿過第一介電層115,其為通孔開口901的預想位置,的多個通 孔開口,並且接著溝槽開口903藉由如前面第4A圖至第7圖中所述的為了形成溝槽開口903的第二光阻401而被形成。然而,在此製程中,溝槽開口903並不完全延伸穿過第一介電層115。
第9A圖額外地繪示,當通孔開口901以及溝槽開口903被形成以暴露下方導電元素103的某些部分後,第一導電材料703被沉積在通孔開口901以及溝槽開口903中。第一導電材料703可以如前面第7圖所述的方式被沉積,諸如藉由沉積阻擋層以及導電填充材料以填充及/或過度填充通孔開口901以及溝槽開口903。然而任何合適的方法以及材料皆可以被應用。
第9B圖繪示,在第一導電材料703被沉積以填充及/或過度填充通孔開口901以及溝槽開口903後,第一導電材料703可以被第一介電層115平坦化以形成第二金屬化層909。在一個實施例中,第一導電材料703可以藉由平坦化製程,諸如化學機械拋光、研磨、回蝕製程、其組合、或其類似者,被平坦化。除此之外,平坦化製程也可以移除第一層117。然而,其他合適的製程皆可以被使用。
藉由第一介電層115形成通孔開口901以及溝槽開口903,使用第一導電材料703填充通孔開口901以及溝槽開口903,並且接著平坦化第一導電材料703,第二導電線907被形成。進一步來說,第二導電線907 穿過第二通孔905並被電性連接至下方導電元素103。如此一來,第二導電線907以及第二通孔905的雙鑲嵌製程被執行以使隨後覆蓋其上的元素電性連接導電元素103,同時仍能保持保留第一介電層115的切割部位803的能力(例如,具有減少第二距離D2的能力)。
第10圖繪示,雖然本文已針對位於半導體基材上的單層金屬化層的製程以及結構進行繪示以及描述,其僅旨在作為說明性的實施例,並不旨在限制所描述的精確層。具體來說,當第二金屬化層909(具有,例如,第一厚度T1)被形成在金屬化層105上,第三金屬化層1001、第四金屬化層1003、第五金屬化層1005、第六金屬化層1007(具有,例如,相當於第一厚度T1的2.7倍的第二厚度)、第七金屬化層1009(具有,例如,相當於第一厚度T1的2.7倍的第三厚度)、第八金屬化層1011(具有,例如,相當於第一厚度T1的4.5倍的第四厚度)、第九金屬化層1013(具有,例如,相當於第一厚度T1的9倍的第五厚度)以及第十金屬化層1015(具有,例如,相當於第一厚度T1的26倍的第六厚度)被依次形成在第二金屬化層909上。在一個實施例中,第三金屬化層1001、第四金屬化層1003、第五金屬化層1005、第六金屬化層1007、第七金屬化層1009、第八金屬化層1011、第九金屬化層1013以及第十金屬化層1015按照與前面關於第1A圖至第9B圖 所述的第二金屬化層909的類似製程而被形成。然而,任何合適的製程以及材料皆可以被應用。
通過本文所述的製程,多個導電線(例如,多的第一導電線801)可以在減少彼此間距離的狀態下被一起製造。具體來說,藉由使用第一介電材料201幫助形成切割部位803,多個第一導電線801之間的距離可以被縮小至低於15nm或甚至低於12nm。導電線之間臨界尺寸的此種減少允許更大量的集成並且進一步減少整體元件的尺寸。
根據其中一個實施例,一種製造半導體元件的方法,方法包含:第一次圖案化第一層,第一層位於介電層上方的第一硬遮罩層上方,第一次圖案化第一層形成第一開口;利用第一介電層填充第一開口;在填充第一開口後第二次圖案化第一層,第二次圖案化第一層在第一層中形成第二開口,第二開口的至少一者暴露第一介電層;移除第一介電層;以及在移除第一介電層後利用第一層作為遮罩圖案化介電層,圖案化介電層延伸第二開口。在一個實施例中,方法進一步包含利用導電材料填充第二開口以形成第一導電線以及第二導電線。在一個實施例中,第一導電線位於與第二導電線相距第一距離的位置,第一距離小於12奈米。在一個實施例中,第二次圖案化第一層使用極紫外光成像製程執行。在一個實施例中,第一層包含氧化物材料。在一個實施例中, 方法進一步包含藉由第一層平坦化第一介電層。在一個實施例中,平坦化至少部分利用回蝕製程執行。
根據其中一個實施例,一種製造半導體元件的方法,方法包含:沈積第一層在第一介電層上方的硬遮罩層上方;嵌入第一介電層進入第一層;沈積光阻材料在第一層上方;圖案化光阻材料以形成第一線開口以及第二線開口,第一線開口至少部分位於第一介電層;通過第一線開口以及第二線開口圖案化硬遮罩層並保留第一介電層,第一介電層保護硬遮罩層的第一部位;利用硬遮罩層作為遮罩圖案化第一介電層,硬遮罩層的第一部位保護第一介電層的第二部位,圖案化第一介電層在第一介電層的第二部位的第一側形成第一開口並且在第一介電層的第二部位的第二側形成第二開口,第一開口不大於第二開口12奈米;以及利用導電材料填充第一開口以及第二開口。在一個實施例中,圖案化第一介電層為單鑲嵌製程的一部分。在一個實施例中,圖案化第一介電層為雙鑲嵌製程的一部分。在一個實施例中,圖案化光阻材料至少部分地藉由極紫外光成像製程執行。在一個實施例中,填充第一開口以及第二開口形成第一導電線以及第二導電線,其中第一導電線以及第二導電線皆不具有鳥嘴形狀。在一個實施例中,嵌入第一介電層至少部分地由原子層沉積製程完成。在一個實施例中,第一介電層為氧化鈦。
根據其中一個實施例,一種半導體元件,包含:半導體基材以及金屬化層。金屬化層上覆半導體基材。金屬化層包含:第一介電層、第一導電線以及第二導電線。第一導電線嵌入第一介電層。第二導電線嵌入第一介電層。在俯視視角中第一介電層連續地圍繞第一導電線以及第二導電線。第二導電線與第一導電線隔開不超過15奈米。在一個實施例中,第一導電線延伸穿過第一介電層。在一個實施例中,第一導電線部分地延伸穿過第一介電層。在一個實施例中,半導體元件進一步包含第一通孔與第一導電線實體接觸,第一通孔延伸穿過第一介電層的剩餘部分。在一個實施例中,第二導電線與第一導電線隔開不超過12奈米。在一個實施例中,第二導電線與第一導電線隔開不超過5奈米。
前文概述了若干實施例之特徵,使得熟習此項技術者可較佳地理解本揭露之態樣。熟習此項技術者應瞭解,他們可容易地使用本揭露作為設計或修改用於實現相同目的及/或達成本文中所介紹之實施例之相同優勢的其他製程及結構的基礎。熟習此項技術者亦應認識到,此些等效構造不脫離本揭露之精神及範疇,且他們可在不脫離本揭露之精神及範疇的情況下於本文作出各種改變、代替及替換。
101:第一蝕刻停止層
103:導電元素
105:金屬化層
107:半導體基材
109:主動元件
115:第一介電層
117:第一層
119:第一硬遮罩層
121:第二層
123:第一光阻
125:底部抗反射層
127:第一中間遮罩層
129:頂部光敏層
131:第一開口
W1:寬度

Claims (10)

  1. 一種製造半導體元件的方法,該方法包含:第一次圖案化一第一層,該第一層位於一介電層上方的一第一硬遮罩層上方,該第一次圖案化該第一層形成一第一開口;利用一第一介電層填充該第一開口;在填充該第一開口後第二次圖案化該第一層,該第二次圖案化該第一層在該第一層中形成複數個第二開口,該些第二開口的至少一者暴露該第一介電層;移除該第一介電層;以及在移除該第一介電層後利用該第一層作為一遮罩圖案化該介電層,該圖案化該介電層延伸該些第二開口。
  2. 如請求項1所述之製造半導體元件的方法,進一步包含利用一導電材料填充該些第二開口以形成一第一導電線以及一第二導電線。
  3. 如請求項1所述之製造半導體元件的方法,進一步包含藉由該第一層平坦化該第一介電層。
  4. 一種製造半導體元件的方法,該方法包含:沈積一第一層在一第一介電層上方的一硬遮罩層上方; 嵌入一第一介電層進入該第一層;沈積一光阻材料在該第一層上方;圖案化該光阻材料以形成一第一線開口以及一第二線開口,該第一線開口至少部分位於該第一介電層;通過該第一線開口以及該第二線開口圖案化該硬遮罩層並保留該第一介電層,該第一介電層保護該硬遮罩層的一第一部位;利用該硬遮罩層作為一遮罩圖案化該第一介電層,該硬遮罩層的該第一部位保護該第一介電層的一第二部位,該圖案化該第一介電層在該第一介電層的該第二部位的一第一側形成一第一開口並且在該第一介電層的該第二部位的一第二側形成一第二開口,該第一開口不大於該第二開口12奈米;以及利用一導電材料填充該第一開口以及該第二開口。
  5. 如請求項4所述之製造半導體元件的方法,其中該圖案化該第一介電層為一單鑲嵌製程的一部分。
  6. 如請求項4所述之製造半導體元件的方法,其中該圖案化該第一介電層為一雙鑲嵌製程的一部分。
  7. 如請求項4所述之製造半導體元件的方法,其中該圖案化該光阻材料至少部分地藉由一極紫外光成像製程執行。
  8. 一種半導體元件,包含:一半導體基材;以及一金屬化層,上覆該半導體基材,該金屬化層包含:一第一介電層;一第一導電線,嵌入該第一介電層且在一俯視視角中沿一第一方向延伸;以及一第二導電線,嵌入該第一介電層且在該俯視視角中沿該第一方向延伸,在該俯視視角中該第一介電層連續地圍繞該第一導電線以及該第二導電線,該第一導電線以及該第二導電線沿該第一方向排列,該第二導電線與該第一導電線隔開不超過15奈米。
  9. 如請求項8所述之半導體元件,其中該第一導電線延伸穿過該第一介電層。
  10. 如請求項8所述之半導體元件,其中該第一導電線部分地延伸穿過該第一介電層。
TW111100311A 2021-05-12 2022-01-04 半導體元件及其製造方法 TWI803138B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202163187574P 2021-05-12 2021-05-12
US63/187,574 2021-05-12
US17/396,284 2021-08-06
US17/396,284 US20220367251A1 (en) 2021-05-12 2021-08-06 Semiconductor Devices and Methods of Manufacture

Publications (2)

Publication Number Publication Date
TW202245058A TW202245058A (zh) 2022-11-16
TWI803138B true TWI803138B (zh) 2023-05-21

Family

ID=83118975

Family Applications (1)

Application Number Title Priority Date Filing Date
TW111100311A TWI803138B (zh) 2021-05-12 2022-01-04 半導體元件及其製造方法

Country Status (3)

Country Link
US (1) US20220367251A1 (zh)
CN (1) CN115036264A (zh)
TW (1) TWI803138B (zh)

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20160118347A1 (en) * 2013-04-08 2016-04-28 Taiwan Semiconductor Manufacturing Company, Ltd Semiconductor Device and Method
US20190067179A1 (en) * 2017-08-31 2019-02-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor Device and Method of Manufacture
US20200350206A1 (en) * 2019-05-01 2020-11-05 Applied Materials, Inc. Fully Aligned Subtractive Processes And Electronic Devices Therefrom

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102004042168B4 (de) * 2004-08-31 2009-08-20 Advanced Micro Devices, Inc., Sunnyvale Halbleiterelement mit einem Metallisierungsschichtstapel mit kleinem ε mit erhöhter Widerstandsfähigkeit gegen Elektromigration und Verfahren zum Bilden des Halbleiterelements
KR100741913B1 (ko) * 2005-12-29 2007-07-24 동부일렉트로닉스 주식회사 패턴의 임계치수 균일도를 개선한 사진 공정 및 이를이용한 반도체 소자의 콘택홀 형성 방법
US7956463B2 (en) * 2009-09-16 2011-06-07 International Business Machines Corporation Large grain size conductive structure for narrow interconnect openings
US8404581B2 (en) * 2009-09-29 2013-03-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming an interconnect of a semiconductor device
US9099526B2 (en) * 2010-02-16 2015-08-04 Monolithic 3D Inc. Integrated circuit device and structure
KR20170074377A (ko) * 2015-12-22 2017-06-30 삼성전자주식회사 기판 처리 시스템 및 기판 처리 방법
US10199500B2 (en) * 2016-08-02 2019-02-05 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-layer film device and method
US10755972B2 (en) * 2016-11-29 2020-08-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US11334703B2 (en) * 2017-06-29 2022-05-17 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit layouts with fill feature shapes
US11270936B2 (en) * 2018-10-31 2022-03-08 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit including supervia and method of making
US11315787B2 (en) * 2019-04-17 2022-04-26 Applied Materials, Inc. Multiple spacer patterning schemes
US10770392B1 (en) * 2019-04-25 2020-09-08 Globalfoundries Inc. Line end structures for semiconductor devices
US11842922B2 (en) * 2021-08-11 2023-12-12 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming interconnect structure

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20160118347A1 (en) * 2013-04-08 2016-04-28 Taiwan Semiconductor Manufacturing Company, Ltd Semiconductor Device and Method
US20190067179A1 (en) * 2017-08-31 2019-02-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor Device and Method of Manufacture
US20200350206A1 (en) * 2019-05-01 2020-11-05 Applied Materials, Inc. Fully Aligned Subtractive Processes And Electronic Devices Therefrom

Also Published As

Publication number Publication date
TW202245058A (zh) 2022-11-16
CN115036264A (zh) 2022-09-09
US20220367251A1 (en) 2022-11-17

Similar Documents

Publication Publication Date Title
US7786589B2 (en) Semiconductor device and method for manufacturing semiconductor device
KR100487948B1 (ko) 이중 다마신 기술을 사용하여 비아콘택 구조체를 형성하는방법
US9793212B2 (en) Interconnect structures and methods of forming same
US7538023B2 (en) Method of manufacturing a semiconductor wafer device having separated conductive patterns in peripheral area
US11488861B2 (en) Method for manufacturing an interconnect structure having a selectively formed bottom via
US8962432B2 (en) Semiconductor device with self aligned end-to-end conductive line structure and method for forming the same
US8164196B2 (en) Semiconductor device and method for manufacturing the same
TW201816846A (zh) 自動對準雙重間隙壁圖案化製程
CN110323181B (zh) 一种半导体器件的制造方法
WO2005013356A1 (ja) 溝配線を有する半導体装置および半導体装置の製造方法
TWI690003B (zh) 用於形成雙鑲嵌互連結構的方法
TW202215600A (zh) 半導體裝置的形成方式
TWI803138B (zh) 半導體元件及其製造方法
US20110042785A1 (en) Semiconductor device and method for manufacturing semiconductor device
TWI787907B (zh) 製造半導體元件的方法
KR100588665B1 (ko) 반도체 소자의 장벽금속층 형성 방법
TWI823228B (zh) 製造半導體結構的方法
US12002710B2 (en) Semiconductor structure and methods of forming the same
TWI802008B (zh) 包含石墨之互連結構及其形成方法、及積體電路結構
US20220367204A1 (en) Semiconductor device and method
US20240145297A1 (en) Via-first self-aligned interconnect formation process
CN115910759A (zh) 用于图案化的方法
KR20050117131A (ko) 듀얼 다마신 패턴 형성 방법
JP2009170544A (ja) 半導体装置