TWI787907B - 製造半導體元件的方法 - Google Patents
製造半導體元件的方法 Download PDFInfo
- Publication number
- TWI787907B TWI787907B TW110125756A TW110125756A TWI787907B TW I787907 B TWI787907 B TW I787907B TW 110125756 A TW110125756 A TW 110125756A TW 110125756 A TW110125756 A TW 110125756A TW I787907 B TWI787907 B TW I787907B
- Authority
- TW
- Taiwan
- Prior art keywords
- layer
- opening
- hard mask
- mask layer
- mask
- Prior art date
Links
- 238000000034 method Methods 0.000 title claims abstract description 149
- 239000004065 semiconductor Substances 0.000 title claims description 46
- 238000004519 manufacturing process Methods 0.000 title claims description 11
- 239000000463 material Substances 0.000 claims abstract description 85
- 230000008569 process Effects 0.000 claims abstract description 84
- 238000000059 patterning Methods 0.000 claims abstract description 44
- 239000010410 layer Substances 0.000 claims description 498
- 229920002120 photoresistant polymer Polymers 0.000 claims description 83
- 238000005520 cutting process Methods 0.000 claims description 74
- 239000006117 anti-reflective coating Substances 0.000 claims description 71
- 238000005530 etching Methods 0.000 claims description 39
- 238000000151 deposition Methods 0.000 claims description 23
- 239000004020 conductor Substances 0.000 claims description 10
- 239000011248 coating agent Substances 0.000 claims description 9
- 238000000576 coating method Methods 0.000 claims description 9
- 238000005549 size reduction Methods 0.000 claims description 5
- 230000000873 masking effect Effects 0.000 claims 2
- 230000001681 protective effect Effects 0.000 description 56
- XPDWGBQVDMORPB-UHFFFAOYSA-N Fluoroform Chemical compound FC(F)F XPDWGBQVDMORPB-UHFFFAOYSA-N 0.000 description 28
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 22
- 229910052710 silicon Inorganic materials 0.000 description 22
- 239000010703 silicon Substances 0.000 description 22
- 239000007789 gas Substances 0.000 description 21
- 239000000758 substrate Substances 0.000 description 17
- TXEYQDLBPFQVAA-UHFFFAOYSA-N tetrafluoromethane Chemical compound FC(F)(F)F TXEYQDLBPFQVAA-UHFFFAOYSA-N 0.000 description 16
- 229910052581 Si3N4 Inorganic materials 0.000 description 15
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 15
- NBVXSUQYWXRMNV-UHFFFAOYSA-N fluoromethane Chemical compound FC NBVXSUQYWXRMNV-UHFFFAOYSA-N 0.000 description 14
- 238000000231 atomic layer deposition Methods 0.000 description 13
- 238000012546 transfer Methods 0.000 description 13
- RWRIWBAIICGTTQ-UHFFFAOYSA-N difluoromethane Chemical compound FCF RWRIWBAIICGTTQ-UHFFFAOYSA-N 0.000 description 12
- OGIDPMRJRNCKJF-UHFFFAOYSA-N titanium oxide Inorganic materials [Ti]=O OGIDPMRJRNCKJF-UHFFFAOYSA-N 0.000 description 11
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 10
- GWEVSGVZZGPLCZ-UHFFFAOYSA-N Titan oxide Chemical compound O=[Ti]=O GWEVSGVZZGPLCZ-UHFFFAOYSA-N 0.000 description 10
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 10
- 238000005229 chemical vapour deposition Methods 0.000 description 10
- 238000000206 photolithography Methods 0.000 description 10
- 239000011247 coating layer Substances 0.000 description 8
- 229910052751 metal Inorganic materials 0.000 description 8
- 239000002184 metal Substances 0.000 description 8
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 7
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 7
- 239000000460 chlorine Substances 0.000 description 7
- 239000003989 dielectric material Substances 0.000 description 7
- 239000011295 pitch Substances 0.000 description 7
- 230000002829 reductive effect Effects 0.000 description 7
- 229910052814 silicon oxide Inorganic materials 0.000 description 7
- 239000010936 titanium Substances 0.000 description 7
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 description 6
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 description 6
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 6
- 229910052801 chlorine Inorganic materials 0.000 description 6
- 238000012545 processing Methods 0.000 description 6
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 6
- 229910052715 tantalum Inorganic materials 0.000 description 6
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 description 6
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 description 6
- 229910052719 titanium Inorganic materials 0.000 description 6
- 229910052786 argon Inorganic materials 0.000 description 5
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 5
- 230000008901 benefit Effects 0.000 description 5
- 229910052799 carbon Inorganic materials 0.000 description 5
- 239000003575 carbonaceous material Substances 0.000 description 5
- 238000013461 design Methods 0.000 description 5
- 238000001312 dry etching Methods 0.000 description 5
- 239000000203 mixture Substances 0.000 description 5
- 150000004767 nitrides Chemical class 0.000 description 5
- 239000001301 oxygen Substances 0.000 description 5
- 229910052760 oxygen Inorganic materials 0.000 description 5
- 229910010271 silicon carbide Inorganic materials 0.000 description 5
- 229910052582 BN Inorganic materials 0.000 description 4
- PZNSFCLAULLKQX-UHFFFAOYSA-N Boron nitride Chemical compound N#B PZNSFCLAULLKQX-UHFFFAOYSA-N 0.000 description 4
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 4
- 230000015572 biosynthetic process Effects 0.000 description 4
- 230000005669 field effect Effects 0.000 description 4
- 239000011229 interlayer Substances 0.000 description 4
- 239000002861 polymer material Substances 0.000 description 4
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 4
- 229910052721 tungsten Inorganic materials 0.000 description 4
- 239000010937 tungsten Substances 0.000 description 4
- UONOETXJSWQNOL-UHFFFAOYSA-N tungsten carbide Chemical compound [W+]#[C-] UONOETXJSWQNOL-UHFFFAOYSA-N 0.000 description 4
- 238000007740 vapor deposition Methods 0.000 description 4
- 238000001039 wet etching Methods 0.000 description 4
- PXHVJJICTQNCMI-UHFFFAOYSA-N Nickel Chemical compound [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 description 3
- 229910021529 ammonia Inorganic materials 0.000 description 3
- 230000003667 anti-reflective effect Effects 0.000 description 3
- 239000001257 hydrogen Substances 0.000 description 3
- 229910052739 hydrogen Inorganic materials 0.000 description 3
- 125000004435 hydrogen atom Chemical class [H]* 0.000 description 3
- 238000004518 low pressure chemical vapour deposition Methods 0.000 description 3
- 229910044991 metal oxide Inorganic materials 0.000 description 3
- 150000004706 metal oxides Chemical class 0.000 description 3
- 229910052757 nitrogen Inorganic materials 0.000 description 3
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 3
- 229920003229 poly(methyl methacrylate) Polymers 0.000 description 3
- 229920000642 polymer Polymers 0.000 description 3
- 239000004926 polymethyl methacrylate Substances 0.000 description 3
- 230000009467 reduction Effects 0.000 description 3
- 238000004528 spin coating Methods 0.000 description 3
- 239000000126 substance Substances 0.000 description 3
- KXGFMDJXCMQABM-UHFFFAOYSA-N 2-methoxy-6-methylphenol Chemical compound [CH]OC1=CC=CC([CH])=C1O KXGFMDJXCMQABM-UHFFFAOYSA-N 0.000 description 2
- JBRZTFJDHDCESZ-UHFFFAOYSA-N AsGa Chemical compound [As]#[Ga] JBRZTFJDHDCESZ-UHFFFAOYSA-N 0.000 description 2
- KZBUYRJDOAKODT-UHFFFAOYSA-N Chlorine Chemical compound ClCl KZBUYRJDOAKODT-UHFFFAOYSA-N 0.000 description 2
- GYHNNYVSQQEPJS-UHFFFAOYSA-N Gallium Chemical compound [Ga] GYHNNYVSQQEPJS-UHFFFAOYSA-N 0.000 description 2
- 229910000530 Gallium indium arsenide Inorganic materials 0.000 description 2
- 229910000673 Indium arsenide Inorganic materials 0.000 description 2
- GPXJNWSHGFTCBW-UHFFFAOYSA-N Indium phosphide Chemical compound [In]#P GPXJNWSHGFTCBW-UHFFFAOYSA-N 0.000 description 2
- 229910000577 Silicon-germanium Inorganic materials 0.000 description 2
- FTWRSWRBSVXQPI-UHFFFAOYSA-N alumanylidynearsane;gallanylidynearsane Chemical compound [As]#[Al].[As]#[Ga] FTWRSWRBSVXQPI-UHFFFAOYSA-N 0.000 description 2
- 229910052782 aluminium Inorganic materials 0.000 description 2
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 2
- 239000011575 calcium Substances 0.000 description 2
- 239000011651 chromium Substances 0.000 description 2
- 239000010949 copper Substances 0.000 description 2
- 230000007423 decrease Effects 0.000 description 2
- 229910052733 gallium Inorganic materials 0.000 description 2
- 239000010931 gold Substances 0.000 description 2
- RPQDHPTXJYYUPQ-UHFFFAOYSA-N indium arsenide Chemical compound [In]#[As] RPQDHPTXJYYUPQ-UHFFFAOYSA-N 0.000 description 2
- 239000011777 magnesium Substances 0.000 description 2
- 238000001465 metallisation Methods 0.000 description 2
- 150000002739 metals Chemical class 0.000 description 2
- 229920003986 novolac Polymers 0.000 description 2
- 229920001568 phenolic resin Polymers 0.000 description 2
- -1 resistors Substances 0.000 description 2
- LIVNPJMFVYWSIS-UHFFFAOYSA-N silicon monoxide Chemical compound [Si-]#[O+] LIVNPJMFVYWSIS-UHFFFAOYSA-N 0.000 description 2
- LGPPATCNSOSOQH-UHFFFAOYSA-N 1,1,2,3,4,4-hexafluorobuta-1,3-diene Chemical compound FC(F)=C(F)C(F)=C(F)F LGPPATCNSOSOQH-UHFFFAOYSA-N 0.000 description 1
- OYPRJOBELJOOCE-UHFFFAOYSA-N Calcium Chemical compound [Ca] OYPRJOBELJOOCE-UHFFFAOYSA-N 0.000 description 1
- VYZAMTAEIAYCRO-UHFFFAOYSA-N Chromium Chemical compound [Cr] VYZAMTAEIAYCRO-UHFFFAOYSA-N 0.000 description 1
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 1
- PXGOKWXKJXAPGV-UHFFFAOYSA-N Fluorine Chemical compound FF PXGOKWXKJXAPGV-UHFFFAOYSA-N 0.000 description 1
- 229910005540 GaP Inorganic materials 0.000 description 1
- 229910001218 Gallium arsenide Inorganic materials 0.000 description 1
- FYYHWMGAXLPEAU-UHFFFAOYSA-N Magnesium Chemical compound [Mg] FYYHWMGAXLPEAU-UHFFFAOYSA-N 0.000 description 1
- ZOKXTWBITQBERF-UHFFFAOYSA-N Molybdenum Chemical compound [Mo] ZOKXTWBITQBERF-UHFFFAOYSA-N 0.000 description 1
- 239000004341 Octafluorocyclobutane Substances 0.000 description 1
- BQCADISMDOOEFD-UHFFFAOYSA-N Silver Chemical compound [Ag] BQCADISMDOOEFD-UHFFFAOYSA-N 0.000 description 1
- 229910002808 Si–O–Si Inorganic materials 0.000 description 1
- BOTDANWDWHJENH-UHFFFAOYSA-N Tetraethyl orthosilicate Chemical compound CCO[Si](OCC)(OCC)OCC BOTDANWDWHJENH-UHFFFAOYSA-N 0.000 description 1
- LEVVHYCKPQWKOP-UHFFFAOYSA-N [Si].[Ge] Chemical compound [Si].[Ge] LEVVHYCKPQWKOP-UHFFFAOYSA-N 0.000 description 1
- 239000000853 adhesive Substances 0.000 description 1
- 230000001070 adhesive effect Effects 0.000 description 1
- 229910045601 alloy Inorganic materials 0.000 description 1
- 239000000956 alloy Substances 0.000 description 1
- AJGDITRVXRPLBY-UHFFFAOYSA-N aluminum indium Chemical compound [Al].[In] AJGDITRVXRPLBY-UHFFFAOYSA-N 0.000 description 1
- 238000013459 approach Methods 0.000 description 1
- 230000004888 barrier function Effects 0.000 description 1
- 229910052791 calcium Inorganic materials 0.000 description 1
- 239000003990 capacitor Substances 0.000 description 1
- 229910052804 chromium Inorganic materials 0.000 description 1
- 238000004140 cleaning Methods 0.000 description 1
- 229910017052 cobalt Inorganic materials 0.000 description 1
- 239000010941 cobalt Substances 0.000 description 1
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical compound [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 description 1
- 150000001875 compounds Chemical class 0.000 description 1
- 229910052802 copper Inorganic materials 0.000 description 1
- 230000003247 decreasing effect Effects 0.000 description 1
- 238000005137 deposition process Methods 0.000 description 1
- 238000009792 diffusion process Methods 0.000 description 1
- 239000011737 fluorine Substances 0.000 description 1
- 229910052731 fluorine Inorganic materials 0.000 description 1
- YUCFVHQCAFKDQG-UHFFFAOYSA-N fluoromethane Chemical compound F[CH] YUCFVHQCAFKDQG-UHFFFAOYSA-N 0.000 description 1
- HZXMRANICFIONG-UHFFFAOYSA-N gallium phosphide Chemical compound [Ga]#P HZXMRANICFIONG-UHFFFAOYSA-N 0.000 description 1
- 229910052732 germanium Inorganic materials 0.000 description 1
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 1
- 239000011521 glass Substances 0.000 description 1
- PCHJSUWPFVWCPO-UHFFFAOYSA-N gold Chemical compound [Au] PCHJSUWPFVWCPO-UHFFFAOYSA-N 0.000 description 1
- 229910052737 gold Inorganic materials 0.000 description 1
- 229910052735 hafnium Inorganic materials 0.000 description 1
- VBJZVLUMGGDVMO-UHFFFAOYSA-N hafnium atom Chemical compound [Hf] VBJZVLUMGGDVMO-UHFFFAOYSA-N 0.000 description 1
- 230000006872 improvement Effects 0.000 description 1
- WPYVAWXEWQSOGY-UHFFFAOYSA-N indium antimonide Chemical compound [Sb]#[In] WPYVAWXEWQSOGY-UHFFFAOYSA-N 0.000 description 1
- 229920000592 inorganic polymer Polymers 0.000 description 1
- 239000012212 insulator Substances 0.000 description 1
- 238000002955 isolation Methods 0.000 description 1
- 230000000670 limiting effect Effects 0.000 description 1
- 229910052749 magnesium Inorganic materials 0.000 description 1
- 229910052750 molybdenum Inorganic materials 0.000 description 1
- 239000011733 molybdenum Substances 0.000 description 1
- 229910052759 nickel Inorganic materials 0.000 description 1
- BCCOBQSFUDVTJQ-UHFFFAOYSA-N octafluorocyclobutane Chemical compound FC1(F)C(F)(F)C(F)(F)C1(F)F BCCOBQSFUDVTJQ-UHFFFAOYSA-N 0.000 description 1
- 235000019407 octafluorocyclobutane Nutrition 0.000 description 1
- 230000003287 optical effect Effects 0.000 description 1
- 229920000620 organic polymer Polymers 0.000 description 1
- JMOHEPRYPIIZQU-UHFFFAOYSA-N oxygen(2-);tantalum(2+) Chemical compound [O-2].[Ta+2] JMOHEPRYPIIZQU-UHFFFAOYSA-N 0.000 description 1
- 230000036961 partial effect Effects 0.000 description 1
- 238000007747 plating Methods 0.000 description 1
- 238000005498 polishing Methods 0.000 description 1
- 238000007517 polishing process Methods 0.000 description 1
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 1
- 229920005591 polysilicon Polymers 0.000 description 1
- 239000011241 protective layer Substances 0.000 description 1
- 230000005855 radiation Effects 0.000 description 1
- VSZWPYCFIRKVQL-UHFFFAOYSA-N selanylidenegallium;selenium Chemical compound [Se].[Se]=[Ga].[Se]=[Ga] VSZWPYCFIRKVQL-UHFFFAOYSA-N 0.000 description 1
- 125000000123 silicon containing inorganic group Chemical group 0.000 description 1
- 229920005573 silicon-containing polymer Polymers 0.000 description 1
- 229910052709 silver Inorganic materials 0.000 description 1
- 239000004332 silver Substances 0.000 description 1
- 239000002356 single layer Substances 0.000 description 1
- 238000006467 substitution reaction Methods 0.000 description 1
- JBQYATWDVHIOAR-UHFFFAOYSA-N tellanylidenegermanium Chemical compound [Te]=[Ge] JBQYATWDVHIOAR-UHFFFAOYSA-N 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/033—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
- H01L21/0332—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/48—Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
- H01L21/4814—Conductive parts
- H01L21/4846—Leads on or in insulating or insulated substrates, e.g. metallisation
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/033—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
- H01L21/0334—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
- H01L21/0338—Process specially adapted to improve the resolution of the mask
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/28008—Making conductor-insulator-semiconductor electrodes
- H01L21/28264—Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being a III-V compound
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31144—Etching the insulating layers by chemical or physical means using masks
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76807—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
- H01L21/76811—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76816—Aspects relating to the layout of the pattern or to the size of vias or trenches
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76829—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76877—Filling of holes, grooves or trenches, e.g. vias, with conductive material
Landscapes
- Engineering & Computer Science (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Physics & Mathematics (AREA)
- Power Engineering (AREA)
- Chemical & Material Sciences (AREA)
- Inorganic Chemistry (AREA)
- Ceramic Engineering (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
- Drying Of Semiconductors (AREA)
- Crystals, And After-Treatments Of Crystals (AREA)
Abstract
本揭露內容的實施例提供用於形成具有介電切割特徵的導電線路的方法。具體而言,本揭露內容的實施例提供一種用於使用兩個圖案化製程而形成導電線路圖案的方法。在第一圖案化製程中形成線路圖案。在第二圖案化製程中,在切割圖案之上形成線路圖案。藉由形成具有寬度小於線路圖案的線路寬度的切割開口,且接著採用遮罩材料填充該切割開口,而形成切割圖案。
Description
本揭露的一些實施方式是關於一種製造半導體元件的方法,尤其是減小線路端部間距的方法。
因為各種電子組件的積體密度持續改善,半導體產業已經歷連續的快速成長。在大多數情況下,此在積體密度上之改善來自最小特徵尺寸的重複減小,而允許將更多的組件整合入給定的晶片面積中。隨著最小特徵尺寸的減小,此等元件的製造已接近且甚至超過光微影設備的理論極限。隨著半導體元件的不斷縮小,元件的組件之間理想的間距(即,節距)小於使用傳統光學遮罩及光微影設備可製造的節距。
本揭露的一些實施例提供製造半導體元件的方法。此方法包含在介電層之上沉積第一硬質遮罩層、在第一硬
質遮罩層中形成複數個遮罩條,其中複數個遮罩條藉由複數個開口隔開、在複數個遮罩條之間的複數個開口中填充第一材料、形成通過第一材料的切割開口、採用第二材料填充切割開口、去除第一材料以暴露第二材料及複數個遮罩條、及使用複數個遮罩條及第二材料圖案化介電層。
本揭露內容的一些實施例揭露內容提供製造半導體元件的方法。此方法包含沉積第一硬質遮罩層、在第一硬質遮罩層上沉積第二硬質遮罩層、圖案化第二硬質遮罩層以在第一硬質遮罩層之上形成複數個遮罩條,其中複數個遮罩條沿著一第一方向延伸、在複數個遮罩條及第一硬質遮罩層之上沉積遮罩層、在遮罩層之上沉積光阻層、圖案化光阻以形成切割開口,以暴露複數個遮罩條中的至少一個、在切割開口中形成切割遮罩,其中切割遮罩沿著實質垂直於第一方向的第二方向延伸、使用複數個遮罩條及切割遮罩作為蝕刻遮罩,圖案化第一硬質遮罩層。
本揭露內容的一些實施例包含製造半導體元件的方法。此方法包含沉積介電層、使用極紫外線圖案化技術圖案化介電層,以在介電層中形成第一開口及第二開口、填充介電層中的第一開口及第二開口以在介電層中形成第一導電線路及第二導電線路,其中第一導電線路及第二導電線路沿著相同方向延伸,第一導電線路的端部部分面對第二導電線路的端部部分,第一導電線路及第二導電線路的端部部分之間的端部間距小於第一導電線路的線路寬度,且線路寬度小於約25奈米。
B-B:線
C-C:線
L1:長度
L2:長度
L3:長度
L4:長度
R1:半徑
W1:寬度
W2:寬度
W3:寬度
W4:寬度
X:軸
Y:軸
Z:軸
100:方法
102:操作
104:操作
106:操作
108:操作
110:操作
112:操作
114:操作
116:操作
118:操作
120:操作
122:操作
124:操作
126:操作
128:操作
130:操作
200:半導體元件
202:基材
204:蝕刻停止層
206:介電層
206c:切割特徵
206v:通孔件開口
208:第一硬質遮罩層
210:第二硬質遮罩層
212:第三硬質遮罩層
212o:開口
212s:遮罩條
214:保護遮罩層
216:光阻結構
218:抗反射塗覆層
220:背側抗反射塗覆層
222:光阻層
222o:開口
222s:光阻條
224:第二保護遮罩層
226:光阻結構
228:抗反射塗覆層
230:背側抗反射塗覆層
232:光阻層
232ol:切割開口
232os:切割開口
234:切割遮罩
236a:角落
236e:端部
236f:平坦部分
236l:導電線路
236v:導電通孔件
236ld:區段
236lu:區段
當與隨附圖示一起閱讀時,可由後文實施方式最佳地理解本揭露內容的態樣。注意到根據此產業中之標準實務,各種特徵並未按比例繪製。實際上,為論述的清楚性,可任意增加或減少各種特徵的尺寸。
第1圖為根據本揭露內容之實施例之用於製造半導體元件之方法的流程圖。
第2圖至第6圖、第7A圖至第7C圖至第12A圖至第12C圖、第13圖至第14圖及第15A圖至第15C圖示意性地例示根據本揭露內容在各種製造階段的半導體元件。
第16A圖為根據本揭露內容的實施例的金屬間介電層的示意平面視圖。
第16B圖為第16A圖的金屬間介電層的部分擴大視圖。
後文揭露內容提供用於實行所提供的標的的不同特徵的許多不同的實施例或範例。後文描述組件及佈置之特定範例以簡化本揭露內容。當然,此等僅為範例且未意圖具限制性。舉例而言,在後文的描述中,在第二特徵之上或上之第一特徵的形成可包含其中以直接接觸方式形成第一特徵及第二特徵的實施例,且亦可包含其中在第一特徵與第二特徵間形成額外特徵,使得第一特徵及第二特徵可不直接接觸之實施例。此外,在各種範例中,本揭露內
容可能重複元件符號及/或字母。此重複係出於簡單及清楚的目的,且重複本身並不規範所論述的各種實施例及/或配置間之關係。
再者,為了便於描述,本文中可使用諸如「在...之下」、「在...下方」、「較低」、「在...上方」、「較高」、及類似者的空間相對術語,以描述圖示中所例示之一個元件或特徵與另一元件(等)或特徵(等)的關係。除圖示中所描繪之定向之外,空間相對術語亦意圖涵蓋元件在使用或操作中之不同定向。設備能以其他方式定向(旋轉90度或以其他定向),且本文中使用之空間相對描述語可同樣以相應的方式解釋。
本揭露內容的實施例提供用於形成具有介電切割特徵的導電線路的方法。具體而言,本揭露內容的實施例提供藉由首先形成線路圖案,接著在線路圖案上形成切割圖案而形成導電線路圖案的方法。可藉由形成寬度小於線路圖案中的線路寬度的切割開口,接著採用遮罩材料填充切割開口而形成切割圖案。
第1圖為根據本揭露內容的實施例,用於製造半導體元件之方法100的流程圖。具體而言,方法100是關於在半導體元件中形成導電線路的製程。第2圖至第6圖、第7A圖至第7C圖至第12A圖至第12C圖、第13圖至第14圖及第15A圖至第15C圖示意性地例示根據方法100在各種製造階段的半導體元件200。第2圖至第6圖、第7A圖至第12A圖、第13圖至第14圖、第15A圖為
在形成導電線路的各種中間階段處的半導體元件200的示意性立體視圖。第7B圖至第12B圖及第15C圖為半導體元件200沿著第6圖中所圖示的線路B-B的截面視圖。第7C圖至第12C圖及第15C圖為沿著第6圖中所圖示的線路C-C的半導體元件200的截面視圖。
方法100涉及在層介電材料中圖案化及形成導電線路。在一些實施例中,導電線路可為半導體元件的金屬化結構或互連接結構的一部分。導電線路可由諸如金屬的電性導電材料形成。舉例而言,可將使用在本文中所描述的技術形成的導電線路用於形成導電互連結構,作為生產線路後端(BEOL)製程或生產線路前端(FEOL)製程的一部分。
在一些實施例中,將半導體元件200作為較大晶圓的一部分進行處理。可將單片化(singulation)製程應用於切割晶圓的劃線路區,以便將個別半導體裸晶與晶圓隔開。
如第2圖中所圖示,半導體元件200包含基材202。在一些實施例中,基材202包含形成在其上的各種特徵。舉例而言,基材202可包含主動元件、互連結構及類似者。
基材202可包含半導體材料,諸如矽、摻雜或無摻雜、或絕緣體上半導體(semiconductor-on-insulator,SOI)基材的主動層。基材202可包含其他半導體材料,諸如鍺;包含碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦及/或銻化銦的化合物半
導體;包含矽鍺(SiGe)、砷磷化鎵(GaAsP)、砷化鋁銦(AlInAs)、砷化鋁鎵(AlGaAs)、砷化鎵銦(GaInAs)、磷化鎵銦(GaInP)及/或砷磷化鎵銦(GaInAsP)的合金半導體;或其等的組合。亦可使用其他基材,諸如多層或梯度基材。可在基材202中的半導體材料的主動表面中及/或上形成元件(未例示),諸如電晶體,舉例而言,平面電晶體、場效電晶體(field effect transistors,FET)、鰭式場效電晶體(Fin-FET)、水平全環繞閘極(Horizontal Gate All Around,HGAA)鰭式場效電晶體、垂直全環繞閘極(Vertical Gate All Around,VGAA)鰭式場效電晶體、二極體、電容器、電阻器、半導體元件或其他合適的元件。互連結構,諸如層間介電層、蝕刻停止層、金屬間介電層,亦可被包含在基材202中。
半導體元件200可包含在基材202之上形成的介電層206。根據本文中所描述的方法100,在介電層206中形成導電線路。
在一些實施例中,介電層206為IMD層。在一些實施例中,可在基材202上的層間介電(inter-layer dielectric,ILD)層之上形成介電層206。在其他實施例中,介電層206可為在源極/汲極區或電晶體(如,鰭式場效電晶體)的閘極、互連結構中的介電層、或被使用在其他類型的金屬化結構的介電層之上形成的層間介電層。舉例而言,可在基材202中形成的鰭狀結構、金屬閘極、或一個或更多個鰭式場效電晶體中的源極/汲極區之上形成
介電層206。
在一些實施例中,介電層206包含一層或更多層的介電材料,舉例而言,諸如氮化矽(SiN)的氮化物材料、諸如氧化矽(SiO)的氧化物材料、TEOS、BPTEOS或類似者。介電層206亦可為低介電常數(k值)介電材料、聚合物材料、其他介電材料、類似者或其等的組合。可藉由電漿增強化學氣相沉積(plasma enhanced chemical vapor deposition,PECVD)、低壓力化學氣相沉積(low pressurechemical vapor deposition,LPCVD)、電漿氣相沉積(plasma vapor deposition,PVD)、或類似者形成介電層206。
在一些實施例中,介電層206可與基材202物理接觸。在其他實施例中,可在介電層206與基材202之間設置任意數量的中間層。此等中間層可包含金屬間介電層或介電層,並可具有在其中形成的觸點柱塞、導電線路及/或通孔件,或可包含一個或更多個中間層,如,蝕刻停止層、黏附層等、其等的組合及類似者。
在第2圖的範例中,可在介電層206的正下方設置備選的蝕刻停止層204。蝕刻停止層204可,舉例而言,充當隨後在介電層206上進行的蝕刻製程的停止層。用於形成蝕刻停止層204的材料及製程可取決於介電層206的材料。在一些實施例中,可由氮化矽(SiN)、氮氧化矽(SiON)、碳氮氧化矽(SiCON)、碳化矽(SiC)、碳氧化矽(SiOC)、碳氮化矽(SiCN)、氧化矽(SiO)、其他介電
質、類似者或其等的組合形成蝕刻停止層204。可藉由電漿增強化學氣相沉積、低壓力化學氣相沉積、電漿氣相沉積或類似者形成蝕刻停止層204。可使用其他材料及製程以形成蝕刻停止層204。
方法100可用於圖案化介電層206以形成具有切割開口的導電線路。在第2圖至第15C圖中所圖示的範例中,使用兩種圖案化製程在介電層206中形成導電線路圖案。進行第一圖案化製程以形成遮罩條。接著進行第二次圖案化製程以在遮罩條之間形成切割特徵。接著將遮罩條及切割特徵轉移至介電層206,以形成具有對應至切割特徵的端部間距的線路開口。
在方法100的操作102中,在介電層206上沉積第一硬質遮罩層208,如第2圖中所圖示。在後續處理步驟中,使用本文中所描述的圖案化技術在第一硬質遮罩層208上形成圖案。接著將圖案化的第一硬質遮罩層208用作用於圖案化介電層206的蝕刻遮罩。在一些實施例中,可選擇第一硬質遮罩層208的材料組成以提供相對於隨後在第一硬質遮罩層208之上形成的遮罩層的高蝕刻選擇性。第一硬質遮罩層208可包含超過一個層並包含超過一種材料。
可由包含諸如氧化鈦、氧化矽或類似者的氧化物材料;諸如氮化矽、氮化硼、氮化鈦、氮化鉭的氮化物材料;諸如碳化鎢、碳化矽的碳化物材料;諸如矽的半導體材料;諸如鈦、鉭的金屬;或其等的組合的材料形成第一硬質遮
罩層208。在一些實施例中,當介電層206包含低介電常數材料時,可由氧化物材料或氮化矽形成第一硬質遮罩層208。
可使用諸如化學氣相沉積(chemical vapor deposition,CVD)、原子層沉積(atomic layer deposition,ALD)或類似的製程形成第一硬質遮罩層208。在一些實施例中,第一硬質遮罩層208具有在約100埃與約200埃之間的厚度。在其他實施例中,第一硬質遮罩層208可具有另一厚度,此厚度適用於在介電層206及第一硬質遮罩層208中待圖案化特徵的臨界尺寸。
在方法100的操作104中,在第一硬質遮罩層208之上形成第二硬質遮罩層210,如第2圖中所圖示。在後續處理步驟中,使用本文中所描述的圖案化技術在第二硬質遮罩層210上形成圖案。可由包含諸如氧化鈦、氧化矽或類似者的氧化物材料;諸如氮化矽、氮化硼、氮化鈦、氮化鉭的氮化物材料;諸如碳化鎢、碳化矽的碳化物材料;諸如矽的半導體材料;諸如鈦、鉭的金屬;或其等的組合的材料形成第二硬質遮罩層210。
如後文所論述,將第二硬質遮罩層210用作用於蝕刻第一硬質遮罩層208並將第二硬質遮罩層210的圖案轉移至第一硬質遮罩層208的蝕刻遮罩。可由與第一硬質遮罩層208不同的材料形成第二硬質遮罩層210。或者,第一硬質遮罩層208可包含超過一層並包含超過一種材料並可包含與第二硬質遮罩層210不同的材料。在一些實施
例中,當第一硬質遮罩層208包含氧化物材料或氮化矽時,可由氮化鈦、鎢、矽、氧化鈦或金屬氧化物形成第二硬質遮罩層210。
可藉由諸如化學氣相沉積、原子層沉積、或類似者的製程形成第二硬質遮罩層210。可使用其他製程及材料形成第二硬質遮罩層210。在一些實施例中,第二硬質遮罩層210具有在約100埃與約300埃之間的厚度。在其他實施例中,第二硬質遮罩層210可具有另一厚度,此厚度適用於在介電層206、第一硬質遮罩層208、或第二硬質遮罩層210中待圖案化特徵的臨界尺寸。
在方法100的操作106中,在第二硬質遮罩層210之上形成第三硬質遮罩層212,如第2圖中所圖示。在後續處理步驟中,使用本文中所描述的圖案化技術在第三硬質遮罩層212上形成圖案。接著將圖案化的第三硬質遮罩層212用作用於圖案化第二硬質遮罩層210的蝕刻遮罩。
可從包含諸如氧化鈦、氧化矽或類似者的氧化物材料;諸如氮化矽、氮化硼、氮化鈦、氮化鉭的氮化物材料;諸如碳化鎢、碳化矽的碳化物材料;諸如矽的半導體材料;諸如鈦、鉭的金屬;或其等的組合的材料形成第三硬質遮罩層212。第三硬質遮罩層212可包含超過一層並包含超過一種材料,並可包含與第二硬質遮罩層210不同的材料。在一些實施例中,當第二硬質遮罩層210包含氮化鈦、鎢、矽、氧化鈦或金屬氧化物時,可由氧化物材料或氮化矽形
成第三硬質遮罩層212。
可使用諸如化學氣相沉積、原子層沉積、或類似者的製程形成第三硬質遮罩層212。在一些實施例中,可決定第三硬質遮罩層212的材料組成以提供相對於其他層,諸如第一硬質遮罩層208、第二硬質遮罩層210、後文所描述之保護遮罩層214或其他層的高蝕刻選擇性。
在一些實施例中,第三硬質遮罩層212具有在約100埃與約300埃之間的厚度。在其他實施例中,第三硬質遮罩層212可具有另一厚度,此厚度適用於在介電層206、第一硬質遮罩層208、第二硬質遮罩層210或第三硬質遮罩層212中待圖案化的特徵的臨界尺寸。
在方法100的操作108中,在第三硬質遮罩層212之上形成保護遮罩層214,如第2圖中所圖示。在後續處理步驟中,使用本文中所描述的圖案化技術在保護遮罩層214上形成圖案。接著將圖案化的保護遮罩層214用作用於圖案化第三硬質遮罩層212的蝕刻遮罩。
可由合適的介電材料形成保護遮罩層214。在一些實施例中,保護遮罩層214為碳材料,諸如含碳聚合物材料,例如,旋塗碳(spin-on-carbon,SOC)材料或類似者、使用化學氣相沉積製程沉積的碳層或另一類型的碳材料。保護遮罩層214可包含超過一個層並包含超過一種材料。
可藉由合適的製程,諸如化學氣相沉積、原子層沉積、電漿增強原子層沉積(Plasma-Enhanced Atomic
Layer Deposition,PEALD)、旋轉塗佈或類似者,形成保護遮罩層214。在一些實施例中,保護遮罩層214具有在約200埃與約500埃之間的厚度。在其他實施例中,保護遮罩層214可具有另一厚度,該厚度適合於在介電層206中、第一硬質遮罩層208中、第二硬質遮罩層210中、第三硬質遮罩層212中或在保護遮罩層214中待圖案化特徵的臨界尺寸。
在方法100的操作110中,在保護遮罩層214之上形成光阻結構216,如第2圖中所圖示。在一個實施例中,可選擇光阻結構216以適用於極紫外線(extreme ultraviolet,EUV)光微影。第2圖中所圖示的光阻結構216包含在保護遮罩層214之上形成的抗反射塗覆(anti-reflection coating,ARC)層218、在抗反射塗覆層218之上形成的背側抗反射塗覆(backside anti-reflection coating,BARC)層220及在背側抗反射塗覆層220之上形成的光阻層222。光阻結構216可被稱作三層光阻結構。在其他實施例中,可省略抗反射塗覆層218及背側抗反射塗覆層220中的一個或兩個,以形成雙層光阻結構或單層光阻結構。
抗反射塗覆層218可為諸如氧碳化矽(SiOC)、矽、氧氮化矽、氧化鈦、氧化矽、氮化矽、聚合物或其等的組合之材料。抗反射塗覆層218含有可圖案化的材料及/或具有經微調以提供抗反射性質的組成物。可藉由旋轉塗佈製程形成抗反射塗覆層218。在其他實施例中,可藉由另一
合適的沉積製程形成抗反射塗覆層218。抗反射塗覆層218可具有在約50埃米及300埃米之間的厚度。
背側抗反射塗覆層220可具有為光微影製程提供抗反射性質及/或硬質遮罩性質的組成物。在一個實施例中,背側抗反射塗覆層220包含含矽層,如,矽硬質遮罩材料。背側抗反射塗覆層220可包含含矽無機聚合物。在其他實施例中,背側抗反射塗覆層220包含矽氧烷聚合物,如,具有O-Si-O-Si的主鏈的聚合物。可選擇背側抗反射塗覆層220的矽比值來控制蝕刻速率。在其他實施例中,背側抗反射塗覆層220可包含氧化矽,例如,旋塗玻璃(spin-on glass,SOG)、氮化矽、氮氧化矽、多晶矽、含有金屬,諸如鈦、氮化鈦、鋁及/或鉭的含金屬有機聚合物材料;和/或其他合適的材料。當抗反射塗覆層218及光阻層222之間有良好的黏附力時,可省略背側抗反射塗覆層220。背側抗反射塗覆層220可具有在約50埃米及300埃米之間的厚度。
光阻層222可為正光阻層或負光阻層。在一些實施例中,光阻層222由聚甲基丙烯酸甲酯(PMMA)、聚甲基戊二醯亞胺(PMGI)、苯酚甲醛樹脂(DNQ/Novolac)或SU-8製成。在一個實施例中,光阻層222可具有在約200埃米及500埃米之間的厚度。
在方法100的操作114中,使用光微影製程圖案化光阻層222,如第3圖中所圖示。在一些實施例中,可使用極紫外線光微影製程圖案化光阻層222,此製程使用
極紫外線雷射或軟X射線,即波長比130奈米更短的輻射。
如第3圖中所圖示,圖案化光阻層222以形成由複數個開口222o隔開的複數個光阻條222s。複數個開口222o暴露背側抗反射塗覆層220(若存在),或者若不存在背側抗反射塗覆層220則暴露抗反射塗覆層218。
開口222o可彼此平行。可藉由光阻條222s隔開毗鄰的開口222o。在一些實施例中,開口222o及光阻條222s可沿著Y軸長度方向延伸。垂直於長度方向,即,沿著X軸,每個開口222o可具有寬度W1。複數個光阻條222s中的每個可具有沿著X軸的寬度W2。
在一些實施例中,開口222o對應至用於在介電層206中待形成的導電線路的開口。開口222o的寬度W1對應至待形成的半導體元件的最小線路寬度,即,線路寬度的臨界尺寸。在一些實施例中,寬度W1在約15奈米至約25奈米之間。光阻條222s的寬度W2對應至待形成的半導體元件的最小線路至線路間距,即,線路至線路間距的臨界尺寸。在一些實施例中,寬度W2在約15奈米至約25奈米之間。
即使在操作112中描述單一光微影圖案化製程,亦可使用兩個或更多個光微影圖案化製程,即,多圖案化,以允許圖案化特徵的較小節距。其他光微影技術,包含額外的或不同的步驟,在本揭露內容的範圍內。
在方法100的操作114中,將光阻層222的圖
案轉移至第三硬質遮罩層212,如第4圖中所圖示。在一些實施例中,使用一種或更多種非等向性蝕刻製程將光阻層222的圖案轉移至第三硬質遮罩層212上,以依序地蝕刻貫穿背側抗反射塗覆層220、抗反射塗覆層218、保護遮罩層214及第三硬質遮罩層212。
在一些實施例中,使用圖案化的光阻層222作為遮罩以圖案化背側抗反射塗覆層220。因此,將光阻層222的圖案轉移至背側抗反射塗覆層220,並形成圖案化的背側抗反射塗覆層220。在圖案化背側抗反射塗覆層220之後,使用圖案化的背側抗反射塗覆層220圖案化抗反射塗覆層218。可使用電漿製程圖案化背側抗反射塗覆層220及抗反射塗覆層218,舉例而言,藉由用一種或更多種製程氣體諸如四氟甲烷(CF4)、二氟甲烷(CH2F2)、氟甲烷(CH3F)、三氟甲烷(CHF3)、氯氣(Cl2)、氬氣(Ar)、氧氣(O2)、氮氣(N2)、氨氣(NH3)、氫氣(H2)、另一類型的氣體或其等的組合,圖案化背側抗反射塗覆層220及抗反射塗覆層218。蝕刻製程可為非等向性,以便光阻層222中的開口222o延伸通過抗反射塗覆層218,且開口222o在抗反射塗覆層218中具有與在光阻層222中大約相同的大小。
接著在蝕刻製程中將抗反射塗覆層218的圖案轉移至保護遮罩層214。蝕刻製程可為非等向性,以便抗反射塗覆層218中的開口延伸通過保護遮罩層214,且開口在保護遮罩層214中具有與抗反射塗覆層218中大約相同
的大小。蝕刻保護遮罩層214的蝕刻製程可包含濕式蝕刻製程、乾式蝕刻製程或其等的組合。電漿蝕刻製程可包含一種或更多種製程氣體,諸如四氟甲烷、二氟甲烷、氟甲烷、三氟甲烷、氯氣、氬氣、氧氣、另一類型合適的製程氣體或其等的組合。舉例而言,在一些實施例中,包括氟的製程氣體諸如四氟甲烷、二氟甲烷、氟甲烷或三氟甲烷可用於蝕刻由一些介電材料所形成的層,而包含氯的製程氣體諸如氯氣可用於蝕刻由諸如矽或氮化鈦等材料所形成的層。在其他實施例中可使用其他蝕刻技術。在蝕刻保護遮罩層214期間,可消耗圖案化的抗反射塗覆層218,並可至少部分地消耗第三硬質遮罩層212。
在蝕刻製程中,將保護遮罩層214的圖案轉移至第三硬質遮罩層212。蝕刻製程可為非等向性,以便保護遮罩層214中的開口延伸通過第三硬質遮罩層212,且開口在第三硬質遮罩層212中具有與在保護遮罩層214中大約相同的大小。如第4圖中所圖示,在操作114之後,在第三硬質遮罩層212中形成複數個遮罩條212s。藉由貫穿第三硬質遮罩層212形成的開口212o隔開相鄰的遮罩條212s。開口212o具有與開口222o大約相同的尺寸。遮罩條212s具有與光阻條222s大約相同的尺寸。
蝕刻第三硬質遮罩層212的蝕刻製程可包含濕式蝕刻製程、乾式蝕刻製程或其等的組合。電漿蝕刻製程可包含一種或更多種製程氣體,諸如四氟甲烷、氟甲烷、全氟丁二烯(C4F6)、八氟環丁烷(C4F8)、其他合適的氣體
或其等的組合。在其他實施例中可使用其他蝕刻技術。
在蝕刻第三硬質遮罩層212之後,保護遮罩層214的部分可保留。在一些實施例中,可使用,舉例而言,如濕式蝕刻製程去除保護遮罩層214的其餘部分。在其他實施例中,將保護遮罩層214的其餘部分保留在圖案化的第三硬質遮罩層212之上,舉例而言,待被用作保護層。
在方法100的操作116中,在圖案化的第三硬質遮罩層212之上沉積第二保護遮罩層224,如第5圖中所圖示。採用第二保護遮罩層224的材料填充在操作114中形成的第三硬質遮罩層212中的開口212o。
第二保護遮罩層224可類似於保護遮罩層214。第二保護遮罩層224為碳材料層,諸如,如,旋塗碳材料或類似的含碳聚合物材料、使用化學氣相沉積製程沉積的碳層或另一類型的碳材料。可藉由,諸如化學氣相沉積、原子層沉積、電漿增強原子層沉積、旋轉塗佈或類似的合適的製程形成第二保護遮罩層224。在一些實施例中,第二保護遮罩層224具有在約200埃與約500埃之間的厚度。在一些實施例中,從第三硬質遮罩層212的頂部表面量測第二保護遮罩層224的厚度。在其他實施例中,第二保護遮罩層224可具有另一厚度,此厚度適用於在介電層206中、第一硬質遮罩層208中、第二硬質遮罩層210中、第三硬質遮罩層212中或在第二保護遮罩層224中待圖案化的特徵的臨界尺寸。
在方法100的操作118中,在第二保護遮罩層
224之上形成第二光阻結構226,如第6圖中所圖示。光阻結構226可類似於光阻結構216。在一個實施例中,光阻結構226為包含抗反射塗覆層228、背側抗反射塗覆層230及光阻層232的三層光阻。
抗反射塗覆層228可為諸如氧碳化矽、矽、氧氮化矽、氧化鈦、氧化矽、氮化矽、聚合物或其等的組合之材料。抗反射塗覆層228可具有在約50埃米及300埃米之間的厚度。
背側抗反射塗覆層230可具有為光微影製程提供抗反射性質及/或硬質遮罩性質的組成物。在一個實施例中,背側抗反射塗覆層230包含含矽層,如,矽硬質遮罩材料。背側抗反射塗覆層230可具有在約50埃米及300埃米之間的厚度。
光阻層232可為正光阻層或負光阻層。在一些實施例中,光阻層232由聚甲基丙烯酸甲酯、聚甲基戊二醯亞胺、苯酚甲醛樹脂(DNQ/Novolac)或SU-8製成。在一個實施例中,光阻層232可具有在約200埃米及500埃米之間的厚度。
在方法100的操作120中,使用光微影製程圖案化光阻層232以形成一個或更多個切割開口,如第7A圖至第7C圖所圖示。在一些實施例中,可使用極紫外線光微影製程圖案化光阻層232。
如第7A圖中所圖示,圖案化光阻層232以形成一個或更多個切割開口232ol、232os。若存在背側抗反
射塗覆層230,則切割開口232ol、232os暴露背側抗反射塗覆層230,或者若不存在背側抗反射塗覆層230,則暴露抗反射塗覆層228。
在一些實施例中,切割開口232ol、232os可沿著X軸在長度方向延伸。切割開口232ol、232os可具有分別沿著X軸改變的長度L1、L2。切割開口232ol、232os可具有實質相同的寬度W3,此寬度垂直於長度方向,例如,沿著Y軸。
光阻層232中的切割開口232ol、232os意圖有助於第三硬質遮罩層212中的遮罩條212s之間的切割特徵。據此,在對應至在介電層206中待形成的毗鄰導電線路之間的線路端部間距的位置上形成切割開口232ol、232os。每個切割開口232ol、232os可沿著X軸,跨越一個或更多個開口212o,在長度方向延伸,在第三硬質遮罩層212中形成一個或更多個開口212o並採用第二保護遮罩層224的材料填充一個或更多個開口。在一些實施例中,每個切割開口232ol、232os亦將與開口212o的兩側上的遮罩條212s相交。如第7B圖中所圖示,切割開口232ol沿著X軸延伸,覆蓋兩個開口212o並與三個遮罩條212s相交。與一個開口212o交叉的切割開口232os將有助於形成用於單一導電線路的切割特徵。與兩個開口212o交叉的切割開口232ol將有助於在兩個相鄰導電線路中形成切割特徵。可根據電路佈局形成更長長度的切割開口並與更多開口212o交叉。
在一些實施例中,將切割開口232ol、232os的寬度W3形成為比在介電層206中待形成的切割開口特徵的意圖寬度更寬。在一些實施例中,寬度W3可類似於待形成的導電線路的線路寬度的臨界尺寸或開口212o的線路寬度W1。如後文所論述,在後續的圖案轉移期間,切割開口的寬度將從光阻層232中的切割開口的寬度減小到第三硬質遮罩層212及第二保護遮罩層224中符合需求的更窄寬度,因而,減小待形成的導電線路之間的線路端部間距。如第7C圖中所圖示,在第三硬質遮罩層212和第二保護遮罩層224中待形成的切割開口的寬度具有寬度W4,此寬度W4比切割開口232ol、232os的寬度W3更窄。在一些實施例中,寬度W4在小於開口212o的寬度W1的約50%的範圍內。
在一些實施例中,在光阻層232中的切割開口的長度亦可比在第三硬質遮罩層212及第二保護遮罩層224中待形成的切割開口的意圖長度更長。如第7B圖中所圖示,切割開口232ol的長度L2比在第三硬質遮罩層212及第二保護遮罩層224中待形成的切割開口的意圖長度L3更長。切割開口232ol、232os中增加的長度將為後續的圖案轉移操作中的尺寸減小提供容許偏差。
在方法100的操作122中,將光阻層232的圖案轉移至第三硬質遮罩層212,如第8A圖至第8C圖中所圖示。在一些實施例中,接著使用一種或更多種刻蝕製程將光阻層232的圖案轉移至第三硬質遮罩層212,以依
序地蝕刻貫穿背側抗反射塗覆層230、抗反射塗覆層228、第二保護遮罩層224及第三硬質遮罩層212。
在一些實施例中,在從光阻層232至第二保護遮罩層224的圖案轉移的一個或更多個步驟期間,切割開口的寬度從切割開口232ol、232os的寬度W3減小至寬度W4。在一些實施例中,在從光阻層232至第二保護遮罩層224的圖案轉移的一個或更多個步驟期間,切割開口的長度相應的減小。
在第8A至8C圖中所圖示的實施例中,在從光阻層232至抗反射塗覆層228的圖案轉移期間,切割開口的寬度從切割開口232ol、232os的寬度W3減小至切割開口228ol的寬度W4。或者,從光阻層232至抗反射塗覆層228的圖案轉移可為用於直接圖案轉移的非等向性蝕刻,而在從抗反射塗覆層228到第二保護遮罩層224的圖案轉移期間減小切割開口的寬度。在另一實施例中,從光阻層232至抗反射塗覆層228的圖案轉移及從抗反射塗覆層228至第二保護遮罩層224的圖案轉移二者均減小切割開口的寬度。
在一些實施例中,使用圖案化的光阻層232作為遮罩而圖案化背側抗反射塗覆層230(若存在)。因此,將光阻層232的圖案轉移至背側抗反射塗覆層230,並形成圖案化的背側抗反射塗覆層230。可使用電漿製程圖案化,舉例而言,藉由用一種或更多種製程氣體,諸如四氟甲烷、二氟甲烷、氟甲烷、三氟甲烷、氯氣、氬氣、氧氣、氮氣、
氨氣、氫氣、另一類型的氣體或其等的組合,圖案化背側抗反射塗覆層230。
使用圖案化的光阻層232或圖案化的背側抗反射塗覆層230(若存在)圖案化抗反射塗覆層228。在一些實施例中,可使用電漿製程,舉例而言,藉由用一種或更多種製程氣體諸如四氟甲烷、二氟甲烷、氟甲烷、三氟甲烷、氯氣、氬氣、氧氣、氮氣、氨氣、氫氣、另一類型的氣體或其等的組合,圖案化背側抗反射塗覆層228。
在一些實施例中,蝕刻氣體可包含具有不同蝕刻性質的兩種或更多種蝕刻劑,以相對於蝕刻遮罩中的開口的尺寸,諸如圖案化的光阻層232及/或圖案化的背側抗反射塗覆層230,控制在抗反射塗覆層228中形成的開口的尺寸。在一些實施例中,蝕刻氣體包含直接蝕刻劑及尺寸減小蝕刻劑。直接蝕刻劑,當單獨使用時,將圖案直接從遮罩轉移至正被蝕刻的層。尺寸減小蝕刻劑,當單獨使用時,與遮罩中的特徵相比較,形成具有減小的尺寸的開口。可調整直接蝕刻劑及尺寸減小蝕刻劑的比值以實現目標尺寸減小。在一些實施例中,引導蝕刻劑包含四氟甲烷且尺寸減小蝕刻劑包含三氟甲烷。選擇蝕刻氣體中四氟甲烷及三氟甲烷的比值以實現符合需求的開口寬度減小的比值。
如第8A至8C圖中所圖示,通過抗反射塗覆層228形成切割開口228ol、228os。切割開口228ol、228os的寬度W4小於切割開口232ol、232os的寬度W3。在一些實施例中,寬度W4與寬度W3之比值在80
%至40%之間的範圍內。在一些實施例中,切割開口228os、228ol的長度L3、L4亦以類似的尺寸減小。舉例而言,若切割開口228os、228ol的寬度W4比切割開口232ol、232os的寬度W3更窄12奈米,則切割開口228os、228ol的長度L3、L4分別比切割開口232ol、232os的長度L1、L2更短約12奈米。蝕刻氣體可包含四氟甲烷及三氟甲烷。選擇四氟甲烷及三氟甲烷的比值以實現符合需求的寬度減小。在一些實施例中,四氟甲烷及三氟甲烷的比值為在自約1:0.2至約0.8:1之間之範圍內。四氟甲烷及三氟甲烷的較高比值對應至寬度W4相對於寬度W3的較高比值。舉例而言,當四氟甲烷及三氟甲烷的比值為約1:0時,寬度W4相對於寬度W3的比值為約100%。當四氟甲烷及三氟甲烷的比值為約1:1時,寬度W4相對於寬度W3的比值為約50%。
接著藉由蝕刻製程將抗反射塗覆層228的圖案轉移至第二保護遮罩層224。蝕刻製程可為非等向性的,以便抗反射塗覆層228中的切割開口228ol、228os延伸通過第二保護遮罩層224,而形成切割開口224ol、224os。切割開口224ol、224os暴露部分遮罩條212s及對應至開口212o的區域中的部分第二硬質遮罩層210。蝕刻第二保護遮罩層224的蝕刻製程可包含濕式蝕刻製程、乾式蝕刻製程、或其等的組合。在一些實施例中,乾式蝕刻製程可為使用諸如四氟甲烷、二氟甲烷、氟甲烷、三氟甲烷、氯氣、氬氣、氧氣、另一類型的製程氣體或其等的組合的
一種或更多種製程氣體的電漿蝕刻製程。
在一些實施例中,可在抗反射塗覆層228的蝕刻製程期間去除遮罩條212s的暴露部分。在其他實施例中,可省略去除遮罩條212s的暴露部分的蝕刻製程,而保留遮罩條212s的暴露部分作為在後續操作中待形成的遮罩的一部分。
在操作124中,在抗反射塗覆層228中的切割開口228ol、228os及第二保護遮罩層224中的切割開口224ol、224os之內形成切割遮罩234,如第9A圖至第9C圖及第11A圖至第11C圖中所圖示。
可使用遮罩材料以填充抗反射塗覆層228中的切割開口228ol、228os及第二保護遮罩層224中的切割開口224ol、224os,如第9A圖至第9C圖中所圖示。遮罩材料可具有類似於第三硬質遮罩層212的材料的性質,以便切割遮罩234及遮罩條212s一起被使用作用於圖案化第二硬質遮罩層210的蝕刻遮罩。
可從包含諸如氧化鈦、氧化矽或類似的氧化物材料;諸如氮化矽、氮化硼、氮化鈦、氮化鉭的氮化物材料;諸如碳化鎢、碳化矽的碳化物材料;諸如矽的半導體材料;諸如鈦、鉭的金屬;或其等的組合的材料形成切割遮罩234。在一些實施例中,當第二硬質遮罩層210包含氮化鈦、鎢、矽、氧化鈦或金屬氧化物時,可由氧化物材料或氮化矽形成切割遮罩234。
可使用諸如化學氣相沉積、原子層沉積、或類似的
製程形成切割遮罩234。在一些實施例中,藉由原子層沉積製程填充抗反射塗覆層228中的切割開口228ol、228os及第二保護遮罩層224中的切割開口224ol、224os而形成切割遮罩234。
在填充抗反射塗覆層228中的切割開口228ol、228os及第二保護遮罩層224中的切割開口224ol、224os之後,使用諸如化學機械研磨(chemical mechanical polishing,CMP)的平坦化製程以暴露抗反射塗覆層228,如第10A圖至第10C圖中所圖示。
隨後去除抗反射塗覆層228及第二保護遮罩層224以暴露切割遮罩234,如第11A圖至第11C圖中所圖示。除了藉由切割遮罩234佔據的部分,重整在操作122中形成在第三硬質遮罩層212中的開口212o。接著,一起使用第三硬質遮罩層212中的切割遮罩234及遮罩條212s以圖案化第二硬質遮罩層210。
在方法100的操作126中,在蝕刻製程中,將第三硬質遮罩層212中的遮罩條212s及切割遮罩234界定的圖案轉移至第二硬質遮罩層210,如第12A圖至第12C圖中所圖示。
蝕刻製程可為非等向性的,以便第三硬質遮罩層212中的開口212o延伸通過第二硬質遮罩層210,並在第二硬質遮罩層210中具有與在第三硬質遮罩層212中大約相同的大小。在第10A至10C圖中例示所得的結構。在第二硬質遮罩層210中形成包含遮罩條210s及遮罩切
割特徵210c的圖案。遮罩條210s及遮罩切割特徵210c界定複數個線路開口210o。線路開口210o主要為藉由遮罩切割特徵210c分為區段的細長狀開口。
蝕刻第二硬質遮罩層210的蝕刻製程可包含濕式蝕刻製程、乾式蝕刻製程、或其等的組合。在一些實施例中,乾式蝕刻製程可為使用一種或更多種製程氣體諸如Cl2、CH4、N2、HBr,另一種類型的製程氣體或其等組合的電漿蝕刻。在其他實施例中可使用其他蝕刻技術。在一些實施例中,在第二硬質遮罩層210的蝕刻期間,可保留第三硬質遮罩層212及切割遮罩234的部分。
在方法100的操作128中,將第二硬質遮罩層210中的遮罩條212s及切割遮罩234使用作蝕刻遮罩,以將開口212o延伸通過第一硬質遮罩層208至介電層206中,因此在第一硬質遮罩層208中形成開口208o並在介電層206中形成開口206o,如第13、14圖中所圖示。在一些實施例中,作為諸如鑲嵌圖案化製程的導電線路圖案化製程的一部分,可形成通過介電層206的一部分的開口206o。
蝕刻介電層206可包含非等向性乾式蝕刻製程及/或濕式蝕刻製程,其蝕刻通過第一硬質遮罩層208至介電層206。在一些實施例中,在與蝕刻製程隔開的蝕刻步驟中蝕刻第一硬質遮罩層208,以在介電層206中形成開口206o。如第13圖中所圖示,將第二硬質遮罩層210中的圖案轉移至第一硬質遮罩層208。第一硬質遮罩層208的
未蝕刻部分包含遮罩條208s及遮罩切割特徵208c。介電層206的未蝕刻部分可具有與第一硬質遮罩層208相同的圖案。介電層206的未蝕刻部分包含條206s及切割特徵206c。條206s及切割特徵206c界定開口206o,待在此開口處形成導電線路。由於圖案從第一硬質遮罩層208直接轉移至介電層206,因此開口206o沿著X軸的寬度與寬度W1實質相同,且切割特徵206c沿著Y軸的寬度與寬度W4實質相同。
在圖案化開口206o之後,可進行濕式清潔製程以去除第一硬質遮罩層208的任何其餘部分。在一些實施例中,可進行額外的圖案化製程以形成通過介電層206及蝕刻停止層204的通孔件開口206v,如第14圖中所圖示。通孔件開口206v可暴露介電層206之內下方的觸點、金屬線路、或其他導電特徵,諸如基材202之內或介電層206之下的另一層之內的導電特徵。
在說明書的操作130中,如第15A圖至第15C圖所示,在介電層206上圖案化的開口206o中形成導電線路236l,可在通孔件開口206v中形成導電通孔件236v。
如第15A圖及15C圖中所圖示,導電線路236l沿著X軸的寬度與寬度W1實質相同。兩條導電線路236l可沿著平行於Y軸的同一條線路延伸,在兩條導電線路的端部處藉由一個切割特徵206c所隔開。如前文所論述,切割特徵206c沿著Y軸的寬度與寬度W4實質相同。
在一些實施例中,導電線路236l的寬度W1對應至半導體元件200中的線路寬度臨界尺寸,寬度W4對應至半導體元件200中的線路端部臨界尺寸。如前文所論述,在形成切割遮罩234期間,將寬度W4減小至寬度W1的約80%至約40%之間的範圍。因此,線路端部臨界尺寸小於滿足電路設計的設計規則的線路寬度臨界尺寸。寬度W4或線路端部臨界尺寸在寬度W1或線路寬度臨界尺寸的約80%至約40%之間的範圍內。若線路端部臨界尺寸大於線路寬度臨界尺寸的80%,則可能無法很好地滿足電路佈局的設計規則。若線路端部臨界尺寸小於線路寬度臨界尺寸的40%,則切割特徵206c可能無法在導電線路236l之間提供足夠的隔離功能。在一些實施例中,寬度W1或半導體元件200的線路端部臨界尺寸在約15奈米至約25奈米之間,且寬度W4小於約12奈米,舉例而言,在約12奈米與約6奈米之間的範圍內。
可藉著採用導電材料填充開口206o及通孔件開口206v而形成導電線路236l及導電通孔件236v。導電材料可包含鈷(Co)、銅(Cu)、銀(Ag)、鋁(Al)、氮化鈦(TiN)、氮化鉭(TaN)、鉭(Ta)、鈦(Ti)、鉿(Hf)、鋯(Zr)、鎳(Ni)、鎢(W)、鋅(Zn)、鈣(Ca)、金(Au)、鎂(Mg)、鉬(Mo)、鉻(Cr)或類似者。可藉由化學氣相沉積、、電漿氣相沉積、鍍覆、原子層沉積或其他合適的技術形成導電材料。在一些實施例中,在填充導電材料之前,可沿著開口206o及通孔件開口206v的側壁及底部表面形成
一個或更多個襯墊(未圖示),且導電線路236l及導電通孔件236v包含一個或更多個襯墊及導電填充材料。襯墊可包含氧化鈦(TiO)、氮化鈦(TiN)、氧化鉭(TaO)、氮化鉭(TaN)或類似物,並可為導電線路提供擴散阻擋層、黏附層及/或晶種層。
隨後,進行化學機械研磨製程以去除多餘的導電材料並暴露介電層206。在操作130之後,可根據電路設計,在介電層206之上形成互連結構或黏合墊層。
根據本揭露內容的半導體元件不僅具有改善的線路端部臨界尺寸,且亦具有改善的線路端部輪廓。第16A圖為根據本揭露內容的實施例,具有導電線路236l的介電層206的範例的示意性平面視圖。第16B圖為第16A圖的部分擴大視圖。
如第16A圖中所圖示,在介電層206中形成複數條導電線路236l。導電線路236l彼此平行,且相鄰的導電線路236l被介電條206l隔開。藉由介電切割特徵206c將每個導電線路236l劃分成區段236lu、236ld。
如第16B圖中的擴大視圖中所圖示,區段236lu、236ld具有線路寬度W1。線路端部間距為W4,且線路間距為W2。根據本揭露內容的實施例,線路寬度W1小於約25奈米,舉例而言,在約15奈米至約25奈米之間的範圍內。線路端部間距W4在W1的約80%至W1的約40%之間的範圍內。線路間距W2實質等於線路寬度W1,或在約15奈米與約25奈米之間的範圍內。
導電線路236l的每個區段236ld、236lu具有面對切割特徵206c的端部部分236e。與藉由當前技術形成的線路端部相比較,端部部分236e具有相對平坦的輪廓。具體而言,端部部分236e具有包含藉由平坦區段236f連接的兩個角落236a的輪廓。角落236a可具有半徑R1。在一些實施例中,半徑R1可小於線路寬度W1的約20%,且平坦區段236f可大於線路寬度W1的約60%。通過相對平坦的端部部分236e、導電線路236l的區段236ld、236lu改善半導體元件的性能。
與現有技術相比,本文中所描述之各種實施例或範例提供多個優點。本揭露內容的實施例,縮小線路端部間距,以更好地滿足電路佈局的設計規則。根據本揭露內容的導電線路亦為靠近切割特徵的導電線路提供更平坦的線路端部輪廓,因而改善元件性能。
將可瞭解,本文不必論述所有優點,所有實施例或範例均不需要特定的優點,且其他實施例或範例可能會提供不同的優點。
本揭露的一些實施例提供製造半導體元件的方法。此方法包含在介電層之上沉積第一硬質遮罩層、在第一硬質遮罩層中形成複數個遮罩條,其中複數個遮罩條藉由複數個開口隔開、在複數個遮罩條之間的複數個開口中填充第一材料、形成通過第一材料的切割開口、採用第二材料填充切割開口、去除第一材料以暴露第二材料及複數個遮罩條、及使用複數個遮罩條及第二材料圖案化介電層。在
一些實施方式中,方法更包含在圖案化介電層之後,在介電層中填充導電材料。在一些實施方式中,遮罩條沿著第一方向在長度方向延伸,切割開口沿著垂直於第一方向的第二方向在長度方向延伸,且切割開口與兩個或更多個遮罩條相交。在一些實施方式中,形成在第一材料中的切割開口包含:形成具有第一寬度的第一開口於光阻層中。以及,使用尺寸減小蝕刻劑進行蝕刻製程,以將光阻層中的第一開口轉移至第一材料以形成切割開口,其中切割開口具有第二寬度,且第二寬度小於該第一寬度。在一些實施方式中,方法更包含:在光阻層中形成第一開口之前,在第一材料之上沉積背側抗反射塗覆層。使用尺寸減小蝕刻劑蝕刻背側抗反射塗覆層以形成具有第二寬度的第二開口。使用背側抗反射塗覆層圖案化第一材料。在一些實施方式中,在開口中填充第一材料的步驟包含:使用第一材料在遮罩條之上形成一保護遮罩層。在一些實施方式中,方法更包含:在形成第一硬質遮罩層之前,在介電層上沉積第二硬質遮罩層。沉積第三硬質遮罩層於第二硬質遮罩層上,其中在該第三硬質遮罩層上形成第一硬質遮罩層。在一些實施方式中,圖案化介電層包含:使用遮罩條及第二材料圖案化第三硬質遮罩層。使用第三硬質遮罩層圖案化第二硬質遮罩層。使用第二硬質遮罩層圖案化介電層。
本揭露內容的一些實施例揭露內容提供製造半導體元件的方法。此方法包含沉積第一硬質遮罩層、在第一硬質遮罩層上沉積第二硬質遮罩層、圖案化第二硬質遮罩
層以在第一硬質遮罩層之上形成複數個遮罩條,其中複數個遮罩條沿著一第一方向延伸、在複數個遮罩條及第一硬質遮罩層之上沉積遮罩層、在遮罩層之上沉積光阻、圖案化光阻以形成切割開口,以暴露複數個遮罩條中的至少一個、在切割開口中形成切割遮罩,其中切割遮罩沿著實質垂直於第一方向的第二方向延伸、去除遮罩層及光阻、使用複數個遮罩條及切割遮罩作為蝕刻遮罩,圖案化第一硬質遮罩層。在一些實施方式中,形成切割遮罩包含:採用遮罩材料填充切割開口。在一些實施方式中,圖案化光阻層以形成切割開口包含:形成第一開口於光阻層中,其中第一開口的寬度實質等於遮罩條的寬度。使用光阻層作為尺寸減小蝕刻劑的蝕刻遮罩,在遮罩層中形成切割開口,使得切割開口比第一開口更窄。在一些實施方式中,方法更包含:形成第一開口於光阻層中。使用光阻層作為蝕刻遮罩,在遮罩層上形成的背側抗反射塗覆層中形成第二開口,其中第二開口比第一開口更窄。使用該背側抗反射塗覆層作為蝕刻遮罩,在遮罩層中形成切割開口。在一些實施方式中,切割開口比第二開口更窄。在一些實施方式中,第一開口的寬度實質等於遮罩條的寬度,且切割開口的寬度在第一開口的寬度的約80%至約40%之間的範圍內。
本揭露內容的一些實施例提供半導體元件。半導體元件包含介電層、在介電層中形成的第一導電線路及在介電層中形成的第二導電線路,其中第一導電線路及第二導電線路沿著相同方向延伸,第一導電線路的端部部分面對
第二導電線路的端部部分,第一導電線路及第二導電線路的端部部分之間的端部間距小於第一導電線路的線路寬度,且線路寬度小於約25奈米。
本揭露內容的一些實施例包含製造半導體元件的方法。此方法包含沉積介電層、使用極紫外線圖案化技術圖案化介電層,以在介電層中形成第一開口及第二開口、填充介電層中的第一開口及第二開口以在介電層中形成第一導電線路及第二導電線路,其中第一導電線路及第二導電線路沿著相同方向延伸,第一導電線路的端部部分面對第二導電線路的端部部分,第一導電線路及第二導電線路的端部部分之間的端部間距小於第一導電線路的線路寬度,且線路寬度小於約25奈米。在一些實施方式中,端部間距在線路寬度的約80%至約40%之間的範圍內。在一些實施方式中,端部間距小於線路寬度的50%。在一些實施方式中,圖案化介電層更包含:在介電層中形成第三開口,及填充第三開口以形成平行於第一導電線路的第三導電線路,第一導電線路與該第三導電線路之間的線路間距實質等於線路寬度。在一些實施方式中,第一導電線路的端部部分包含輪廓,輪廓包含:第一角落、第二角落及平坦部分,平坦部分連接第一角落及第二角落,其中平坦部分的長度在線路寬度的約60%至約80%之間。在一些實施方式中,第一角落的半徑小於線路寬度的約20%。
上述概述數種實施例的特徵,以便熟習此項技藝者可更瞭解本揭露內容的態樣。熟習此項技藝者應當理解,
熟習此項技藝者可輕易地使用本揭露內容作為設計或修改其他製程及結構之基礎,以實現本文中所介紹之實施例的相同目的及/或達成相同優點。熟習此項技藝者亦應當認知,此均等構造不脫離本揭露內容的精神及範圍,且在不脫離本揭露內容之精神及範圍之情況下,熟習此項技藝者可在本文中進行各種改變、替換、及變更。
100:方法
102:操作
104:操作
106:操作
108:操作
110:操作
112:操作
114:操作
116:操作
118:操作
120:操作
122:操作
124:操作
126:操作
128:操作
130:操作
Claims (10)
- 一種製造半導體元件的方法,包含:沉積一第一硬質遮罩層於一介電層上;在沉積該第一硬質遮罩層之前,沉積一第二硬質遮罩層於該介電層上,使得在沉積該第一硬質遮罩層後,該第二硬質遮罩層位於該第一硬質遮罩層與該介電層之間;形成複數個遮罩條於該第一硬質遮罩層中,其中該些遮罩條藉由複數個開口隔開;填充一第一材料於該些遮罩條之間的該些開口中;形成通過該第一材料的一切割開口,其中該切割開口暴露該第二硬質遮罩層;採用一第二材料填充該切割開口;去除該第一材料以暴露該第二材料及該些遮罩條;及使用該些遮罩條及該第二材料圖案化該介電層。
- 如請求項1所述之方法,更包含:在圖案化該介電層之後,在該介電層中填充一導電材料。
- 如請求項1所述之方法,其中形成在該第一材料中的該切割開口包含:形成具有一第一寬度的一第一開口於一光阻層中;及使用一尺寸減小蝕刻劑進行一蝕刻製程,以將該光阻層 中的該第一開口轉移至該第一材料以形成該切割開口,其中該切割開口具有一第二寬度,且該第二寬度小於該第一寬度。
- 如請求項1所述之方法,更包含:在沉積該第二硬質遮罩層之前,沉積一第三硬質遮罩層於該介電層上,其中在該第三硬質遮罩層上形成該第一硬質遮罩層與該第二硬質遮罩層。
- 一種製造半導體元件的方法,包含:沉積一第一硬質遮罩層;沉積一第二硬質遮罩層於該第一硬質遮罩層上;圖案化該第二硬質遮罩層以在該第一硬質遮罩層之上形成複數個遮罩條,其中該些遮罩條沿著一第一方向延伸;沉積一遮罩層於該些遮罩條及該第一硬質遮罩層之上;沉積一光阻層於該遮罩層之上;圖案化該光阻層以形成一切割開口,以暴露該些遮罩條中的至少一個;形成一切割遮罩於該切割開口中,其中該切割遮罩沿著實質垂直於該第一方向的一第二方向延伸,且該切割遮罩的底面接觸該第一硬質遮罩層;及使用該些遮罩條及該切割遮罩作為複數個蝕刻遮罩,圖案化該第一硬質遮罩層。
- 如請求項5所述之方法,其中圖案化該光阻層以形成該切割開口包含:形成一第一開口於該光阻層中,其中該第一開口的一寬度實質等於該些遮罩條的一寬度;及使用該光阻層作為一尺寸減小蝕刻劑的一蝕刻遮罩,在該遮罩層中形成該切割開口,使得該切割開口比該第一開口更窄。
- 如請求項5所述之方法,更包含:形成一第一開口於該光阻層中;使用該光阻層作為一蝕刻遮罩,在該遮罩層上形成的一背側抗反射塗覆層中形成一第二開口,其中該第二開口比該第一開口更窄;及使用該背側抗反射塗覆層作為一蝕刻遮罩,在該遮罩層中形成該切割開口。
- 如請求項7所述之方法,其中該切割開口比該第二開口更窄。
- 一種製造半導體元件的方法,包含:沉積一介電層;使用極紫外線圖案化技術圖案化該介電層,以在該介電層中形成一第一開口及一第二開口;及填充該介電層中的該第一開口及該第二開口以在該介電 層中形成一第一導電線路及一第二導電線路,其中該第一導電線路及該第二導電線路沿著該相同方向延伸,該第一導電線路的一端部部分面對該第二導電線路的一端部部分,該第一導電線路及該第二導電線路的該些端部部分之間的一端部間距小於該第一導電線路的一線路寬度,且該線路寬度小於約25奈米,其中該第一導電線路的該端部部分包含一輪廓,該輪廓包含:一第一角落;一第二角落;及一平坦部分,連接該第一角落及該第二角落,其中該平坦部分的一長度在該線路寬度的約60%至約80%之間。
- 如請求項9所述之方法,其中該第一角落的一半徑小於該線路寬度的約20%。
Applications Claiming Priority (4)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US202063084303P | 2020-09-28 | 2020-09-28 | |
US63/084,303 | 2020-09-28 | ||
US17/237,008 US20220102198A1 (en) | 2020-09-28 | 2021-04-21 | Method for reducing line end spacing and semicondcutor devices manufactured thereof |
US17/237,008 | 2021-04-21 |
Publications (2)
Publication Number | Publication Date |
---|---|
TW202213630A TW202213630A (zh) | 2022-04-01 |
TWI787907B true TWI787907B (zh) | 2022-12-21 |
Family
ID=78787654
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW110125756A TWI787907B (zh) | 2020-09-28 | 2021-07-13 | 製造半導體元件的方法 |
Country Status (3)
Country | Link |
---|---|
US (1) | US20220102198A1 (zh) |
CN (1) | CN113764284A (zh) |
TW (1) | TWI787907B (zh) |
Families Citing this family (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN109801937A (zh) * | 2019-01-28 | 2019-05-24 | 京东方科技集团股份有限公司 | 可见光通信器件及其制作方法、可见光通信系统 |
Citations (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20200258754A1 (en) * | 2017-11-21 | 2020-08-13 | Taiwan Semiconductor Manufacturing Company Ltd. | Method for manufacturing semiconductor devices and structures thereof |
-
2021
- 2021-04-21 US US17/237,008 patent/US20220102198A1/en active Pending
- 2021-07-13 TW TW110125756A patent/TWI787907B/zh active
- 2021-07-19 CN CN202110814514.0A patent/CN113764284A/zh active Pending
Patent Citations (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20200258754A1 (en) * | 2017-11-21 | 2020-08-13 | Taiwan Semiconductor Manufacturing Company Ltd. | Method for manufacturing semiconductor devices and structures thereof |
Also Published As
Publication number | Publication date |
---|---|
US20220102198A1 (en) | 2022-03-31 |
TW202213630A (zh) | 2022-04-01 |
CN113764284A (zh) | 2021-12-07 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US10340178B2 (en) | Via patterning using multiple photo multiple etch | |
CN109326521B (zh) | 多重图案化方法 | |
KR101785163B1 (ko) | 반도체 소자 구조물의 상호 연결 구조물의 비아 윤곽을 형성하는 방법 | |
US20220059403A1 (en) | Removing Polymer Through Treatment | |
US9613880B2 (en) | Semiconductor structure and fabrication method thereof | |
US9911645B2 (en) | Method for forming fin field effect transistor (FinFET) device structure with interconnect structure | |
TWI536520B (zh) | 半導體裝置及方法 | |
US11594419B2 (en) | Reduction of line wiggling | |
US10504775B1 (en) | Methods of forming metal layer structures in semiconductor devices | |
TW201742227A (zh) | 半導體結構的製造方法 | |
US10269567B2 (en) | Multi-layer mask and method of forming same | |
US11011636B2 (en) | Fin field effect transistor (FinFET) device structure with hard mask layer over gate structure and method for forming the same | |
US11488825B2 (en) | Multi-layer mask and method of forming same | |
TWI787907B (zh) | 製造半導體元件的方法 | |
KR102077261B1 (ko) | 반도체 디바이스를 제조하는 방법 | |
TWI823228B (zh) | 製造半導體結構的方法 | |
TWI776577B (zh) | 半導體結構及其形成方法 | |
TWI802008B (zh) | 包含石墨之互連結構及其形成方法、及積體電路結構 | |
TW202244995A (zh) | 製造半導體元件之方法 | |
CN116246953A (zh) | 形成半导体器件的方法 |