TW201816846A - 自動對準雙重間隙壁圖案化製程 - Google Patents

自動對準雙重間隙壁圖案化製程 Download PDF

Info

Publication number
TW201816846A
TW201816846A TW106114373A TW106114373A TW201816846A TW 201816846 A TW201816846 A TW 201816846A TW 106114373 A TW106114373 A TW 106114373A TW 106114373 A TW106114373 A TW 106114373A TW 201816846 A TW201816846 A TW 201816846A
Authority
TW
Taiwan
Prior art keywords
layer
mask
mandrel
spacer
openings
Prior art date
Application number
TW106114373A
Other languages
English (en)
Inventor
黃彥智
陳育裕
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201816846A publication Critical patent/TW201816846A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0335Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by their behaviour during the process, e.g. soluble masks, redeposited masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

本發明實施例提供一種圖案化形成一半導體結構特徵的方法,此方法包括一罩幕層形成於一目標層之上。一合併切割特徵形成於此罩幕層之上。一第一芯軸層形成於此罩幕層和合併切割特徵之上。此第一芯軸層進行圖案化以形成第一開口於其中。第一間隙壁形成於此第一開口的側壁。第一開口中填入一介電材料以形成栓塞。此第一芯軸層進行圖案化以除去插在相鄰第一間隙壁間的第一芯軸層部分。使用此第一間隙壁及栓塞作為一共同蝕刻罩幕,將此合併切割特徵進行圖案化。除去此栓塞。使用此第一間隙壁作為蝕刻罩幕,將此罩幕層進行圖案化。然後使用此罩幕層及合併切割特徵作為一共同蝕刻罩幕,將此目標層進行圖案化以形成第二開口於其中。

Description

自動對準雙重間隙壁圖案化製程
本發明實施例涉及積體電路製造技術領域,特別是涉及一種圖案化形成一半導體結構特徵的方法。
當半導體元件不斷微縮時,例如是微影等的許多製程技術需要改變才能製造出具有更小尺寸的元件。然而,當半導體製程需要更小的製程窗口,這些元件的製程已經逼近或甚至超越微影設備的理論極限了。當半導體元件持續地微縮,在一元件中元素之間所需的空間(例如間距)是小於使用傳統的光學光罩和微影設備所可以產生的間距了。
根據一實施例,一種圖案化形成一半導體結構特徵的方法包括形成一罩幕層於一目標層之上。一合併切割特徵形成於此罩幕層之上。一第一芯軸層形成於此罩幕層和合併切割特徵之上。此第一芯軸層進行圖案化以形成第一開口於其中。第一間隙壁形成於此第一開口的側壁。第一開口中填入一介電材料以形成栓塞。此第一芯軸層進行圖案化以除去插在相鄰第一間隙壁間的第一芯軸層部分。使用此第一間隙壁及栓塞作為一共 同蝕刻罩幕,將此合併切割特徵進行圖案化。除去此栓塞。使用此第一間隙壁作為蝕刻罩幕,將此罩幕層進行圖案化。然後使用此罩幕層及合併切割特徵作為一共同蝕刻罩幕,將此目標層進行圖案化以形成第二開口於其中。
100‧‧‧半導體結構
101‧‧‧基板
103‧‧‧元件
105‧‧‧互連結構
1090~109M‧‧‧金屬層
1110~111M‧‧‧介電層
1131~113M-1‧‧‧導線
1151~115M-1‧‧‧導電通孔
117‧‧‧抗反射層
119‧‧‧第一罩幕層
121‧‧‧第二罩幕層
201‧‧‧第一三層罩幕
203、409、501、703、801、903、1101、1103、1603、1701、2001、2001c、2003、2003c、2005、2005c、2301、2301c、2303、2303c、2305、2305c‧‧‧開口
301‧‧‧合併切割特徵
401‧‧‧第一芯軸層
403‧‧‧芯軸蓋層
405‧‧‧第二芯軸層
407‧‧‧第二三層罩幕
503‧‧‧第一間隙壁層
601‧‧‧第一間隙壁
701‧‧‧第三三層罩幕
901‧‧‧第四三層罩幕
1001、2009、2011‧‧‧間隙
1301‧‧‧第二間隙壁
1401‧‧‧栓塞層
1501‧‧‧栓塞
1601‧‧‧第五三層罩幕
2501~2531‧‧‧製程步驟
本發明實施例之各實施態樣可藉一併參照下列實施方式段落內容及各圖示理解。請注意,為了便於說明或符合業界實務,圖中顯示的特徵可能並非以精確比例繪示,或其尺寸可能並非精準,可以是隨意的增加或減少以方便討論。本發明實施例所附圖示說明如下:第1A-24B圖顯示根據本發明某些實施例之半導體結構製程不同階段中的上視圖以及剖面圖。
第25圖顯示根據本發明某些實施例之形成一半導體結構的製程流程圖。
以下揭露依據本發明之各種實施例或範例,俾供實施本發明各標的之各技術特徵。為簡明扼要闡述本發明實施例,以下將以明確特定範例描述各元件及其配置。惟,此些說明理應為單純示範,並非用以限制本發明。舉例來說,以下描述在一第二技術特徵上形成一第一技術特徵,可理解其包括此等第一、第二技術特徵為直接接觸的實施例及此等第一、第二技術特徵之間尚有其他技術特徵形成,以致第一、第二技術特徵並非 直接接觸的實施例。除此之外,為使本說明書內容簡單明瞭,在此亦可於不同範例中重複使用圖示元件符號及/或字元,然並非用以限定此些實施例及/或配置。
其次,空間對應關係的詞語,諸如「向其之下」、「在下方」、「較低」、「以上」、「較高」及其類,可隨意用於此以描述圖示中一元件或技術特徵之於其他元件或技術特徵之空間關係。空間對應關係的詞語包括元件在使用或操作中的各種方向及圖示中描述的方向,除此之外,與其相關的裝置可旋轉,如旋轉90度或轉向其他方向,而可對應地解釋此些空間對應關係的詞語。
以下通過特定的具體實例說明一種藉由將許多圖案移轉至一目標層上的多重罩幕層上來進行圖案化一目標層上的半導體結構之方法。在以下所描述的不同實施例中,圖案化的罩幕層會依序用來圖案化此目標層。在某些實施例中,此目標層是一介電層(舉例而言可以是一金屬層間介電層),此介電層可以被圖案化以形成互連線於其中。必須理解的是,本發明實施例並不侷限於用在此處所描述的於一半導體結構中形成互連線,還可以用於形成其他具有一較小尺寸或是間距的半導體結構。此處所描述的不同實施例中藉由克服因為同時存在密集/分散線環境下所造成的薄膜沈積拓樸問題而允許改良了罩幕圖案化製程窗口。
第1A-24B圖顯示根據本發明某些實施例之半導體結構製程不同階段中的示意圖。第1A-24B圖分別為上視圖以及剖面圖,其中每一圖的A部分為上視圖而B部分為沿著A部分B-B'方向上的剖面圖。請參閱第1A和1B圖,顯示出一半導體結構100的一部分。此半導體結構100可以是一積 體電路製程中的一個中間結構。在某些實施例中,此半導體結構100包含一基板101。舉例而言,此基板101或許可以包括摻雜或無摻雜的塊材矽基板(bulk silicon)或是一絕緣層覆矽(SOI)基板的一主動層。通常而言,一絕緣層覆矽(SOI)基板包括一例如是矽的半導體材料層形成一絕緣層之上。此絕緣層可以是例如是埋藏氧化層(BOX)或是一氧化矽層。此絕緣層被提供於一個基板之上,此基板例如是矽或是玻璃。替代地,此基板101或許可以包括其他的半導體元素例如是鍺;或者是包括碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦、及/或銻化銦等半導體化合物;或者是包括矽鍺、磷砷化鎵、砷化銦鋁、鋁砷化鎵、砷化銦鎵、磷化銦鎵、及/或砷磷化銦鎵等半導體合金;及其組合。也可以使用其他例如是多層或是梯度基板等基板。
在某些實施例中,一個或多個主動及/或被動元件103(在第1B圖中顯示為一單一元件)形成於此基板101之上。此一個或多個主動及/或被動元件103可以包括許多例如是電晶體、電容、電阻、二極體、發光二極體、熔絲或是類似的等N型金氧半(NMOS)或是P型金氧半(PMOS)元件。本領域技術人士可以理解以上的例子僅是用來幫助理解而非用來限制本發明實施例。在一特定的應用中也可以使用其他合適的電路。
在某些實施例中,一互連結構105形成於此一個或多個主動及/或被動元件103(在第1B圖中顯示為一單一元件)和基板101之上。此互連結構105電性連接此一個或多個主動及/或被動元件103以在半導體結構100中形成功能性的電路。此互連結構105可以包含一個或多個金屬層1090到109M,其中M+1是這些一個或多個金屬層1090到109M的總數。在某些實施例中,實際的M值係根據此半導體結構100的設計準則而改變。在以下的描述中,一 個或多個金屬層1090到109M可以被統稱為一個或多個金屬層109。此一個或多個金屬層1090到109M分別包括一個或多個介電層1110到111M
在某些實施例中,此介電層1110是一層間介電層,而介電層1111到111M是金屬層間介電層。這些層間介電層和金屬層間介電層可以包括低介電系數的材料,其介電係數可以在例如是低於4或甚至於是2,而分布於導電特徵之間。在某些實施例中,這些層間介電層和金屬層間介電層是利用例如是旋轉塗佈、化學氣相沈積、電漿輔助化學氣相沈積及其類似方法等合適方法所形成的磷矽玻璃、硼磷矽玻璃、氟矽玻璃、含氧碳化矽(SiOxCy)、旋塗玻璃、旋塗高分子、碳化矽材料、其化合物組合、其混合物組合或是類似物等。
在某些實施例中,此介電層1110包含導電栓塞1150,且介電層1111到111M包含例如分別是導線1131到113M-1和導電通孔1151到115M-1的一個或多個導電互連線。此導電栓塞1150將一個或多個主動及/或被動元件103與導線1131到113M-1和導電通孔1151到115M-1電性耦接。如同以下更詳細的描述,多重罩幕層會在此介電層111M之上形成及被圖案化。之後,圖案化的罩幕用來圖案化介電層111M以在介電層111M中形成導線113M(未於第1A和1B圖中顯示,請參閱第24A和24B圖)的開口。此介電層111M也可以稱為一目標層。
在某些實施例中,此導電栓塞1150、導線1131到113M-1和導電通孔1151到115M-1可以使用例如是嵌鑲、雙嵌鑲或是類似的任何合適方法形成。此導電栓塞1150、導線1131到113M-1和導電通孔1151到115M-1可以包含例如是銅、鋁、鎢、或其組合、或類似的導電材料。此導電栓塞1150、導線1131到113M-1和導電通孔1151到115M-1還可以包含一層或多層阻障/附著(未顯示於 圖中)層,這些阻障層或附著層係用以保護個別的介電層1111到111M-1不會產生擴散或是金屬中毒等問題。此一層或多層阻障/附著層可以利用例如是物理氣相沈積、化學氣相沈積、原子層沈積及其類似方法等合適方法所形成,其可以包含例如是鈦、氮化鈦、鉭、氮化鉭或類似的導電材料。在一實施例中,形成此導電栓塞1150、導線1131到113M-1和導電通孔1151到115M-1的步驟可以包括在個別的介電層1111到111M-1中形成開口,沈積阻障/附著層於開口中,沈積合適的導電種子材料於阻障/附著層之上,以及藉由例如是電鍍或是其他合適的方法將合適的導電材料填入開口中。然後進行化學機械研磨以將超出開口的多餘導電材料移除。
請繼續參閱第1A和1B圖,形成一抗反射層117於此介電層111M之上,形成一第一罩幕層119於此抗反射層117之上,及形成一第二罩幕層121於此第一罩幕層119之上。包括抗反射層117、第一罩幕層119和第二罩幕層121的堆疊也可以稱為一罩幕堆疊。如同以下更詳細的描述,此罩幕堆疊被圖案化以在罩幕堆疊中形成理想的圖案。之後,此罩幕堆疊的圖案會被移轉至介電層111M以在介電層111M之中形成例如是導線113M(請參閱第24A和24B圖)之導電互連線的開口。
此抗反射層117防止在後續微影製程中來自底層的反射及曝光過程中的干涉等輻射。在某些實施例中,此抗反射層117是不含氮的抗反射層(nitrogen-free ARC;NFARC),且是富矽氧化物(silicon rich oxide;SRO)、碳氧化矽(silicon oxycarbide)等類似材料或其組合所構成,且是使用化學氣相沈積、電漿輔助化學氣相沈積及其類似方法或其組合等合適方法所形成。此抗反射層117也可以使用蝕刻停止層以幫助對第一罩幕層119和第二罩 幕層121的圖案化,且此抗反射層117也稱為一蝕刻停止層。替代地,也可以形成一蝕刻停止層於抗反射層117與介電層111M之間。在某些實施例中,抗反射層117的厚度係介於約100埃到約500埃之間。
在某些實施例中,第一罩幕層119可以是一金屬硬式罩幕層而第二罩幕層121可以是一介電硬式罩幕層。第一罩幕層119可以利用例如是物理氣相沈積、化學氣相沈積、原子層沈積及其類似方法等合適方法所形成的材料,例如包含氮化鈦、氧化鈦、或類似的材料、或其組合。在某些實施例中,第一罩幕層119的厚度係介於約100埃到約500埃之間。第二罩幕層121可以利用例如是旋轉塗佈、化學氣相沈積、原子層沈積及其類似方法等合適方法所形成的包含例如是四乙氧基矽烷(TEOS)、摻雜碳之氧化矽(SiCOH)、含氧碳化矽(SiOxCy)或類似的材料、或其組合。在某些實施例中,第二罩幕層121的厚度係介於約100埃到約500埃之間。在某些實施例中,抗反射層117、第一罩幕層119和第二罩幕層121的選取是使得抗反射層117、第一罩幕層119和第二罩幕層121在後續圖案化製程中具有理想的蝕刻速率。如同以下更詳細的描述,第二罩幕層121係藉由移轉多重圖案至此第二罩幕層121而被圖案化,而這些第二罩幕層121的多重圖案隨後會被移轉至第一罩幕層119上。
請參閱第2A和2B圖,形成一第一三層罩幕201於此第二罩幕層121之上。在某些實施例中,此第一三層罩幕201包含一底層2011、一中間層2012於此底層2011之上及一頂層2013於此中間層2012之上。在某些實施例中,此底層2011可以包含利用旋轉塗佈、化學氣相沈積、原子層沈積及其類似方法等方法所形成的例如是旋塗碳(SOC)材料的有機材料。在某些實施例 中,此底層2011的厚度係介於約500埃到約2000埃之間。在某些實施例中,此中間層2012可以包含可以包含利用化學氣相沈積、原子層沈積及其類似方法等方法所形成的一無機材料,其可以是一氮化物(例如氮化矽、氮化鈦、氮化鉭或類似材料)、一氧化氮化物(例如氧化氮化矽)、一氧化物(例如氧化矽)、或類似材料。在某些實施例中,此中間層2012的厚度係介於約100埃到約400埃之間。在某些實施例中,此頂層2013可以包含利用旋轉塗佈及其類似方法所形成的例如是光阻材料的有機材料。在某些實施例中,此頂層2013的厚度係介於約500埃到約1500埃之間。在某些實施例中,此中間層2012的蝕刻速率比頂層2013還大,且頂層2013可以用來作為圖案化中間層2012的蝕刻罩幕。此底層2011的蝕刻速率比中間層2012還大,且中間層2012可以用來作為圖案化底層2011的蝕刻罩幕。
在某些實施例中,此第一三層罩幕201的頂層2013被圖案化以在此頂層2013形成一開口203。此頂層2013使用合適的微影技術進行圖案化。在某些此頂層2013包含光阻材料的實施例中,此光阻材料被照射(曝光)及顯影而移除部分的光阻材料。在某些實施例中,此開口203的寬度W1係介於約30奈米到約50奈米之間,而其長度L1係介於約60奈米到約6000奈米之間。
請參閱第3A和3B圖,在此第二罩幕層121進行一圖案化製程以移轉第一三層罩幕201的開口203圖案(請參閱第2A和2B圖)至此第二罩幕層121。在某些實施例中,此圖案化製程包含一次或多次蝕刻製程,其中此第一三層罩幕201係作為一蝕刻罩幕。此一次或多次蝕刻製程可以包括非等向性溼蝕刻製程、非等向性乾蝕刻製程、及其組合。在某些第二罩幕層121 是介電硬罩幕層的實施例中,此第二罩幕層121可以利用包含氧、二氧化碳、氫氟烴(CxHyFz)、氬、氮、氫、溴化氫、氯、氦、或類似材料及其組合等蝕刻氣體之乾蝕刻製程來進行圖案化。在此圖案化製程中,此第一三層罩幕201中的底層2011、中間層2012及頂層2013或許會被消耗。假如此第一三層罩幕201中的底層2011、中間層2012及頂層2013之任何部分在此圖案化過程後被保留在此第二罩幕層121之上的話,這些殘留物也需要移除。
之後,第二罩幕層121中的開口203填入合適的絕緣材料以形成一合併切割特徵(merge cut feature)301。合適的絕緣材料包括一氧化物(例如氧化矽、氧化鋁、SiCOH、SiOxCy、四乙氧基矽烷(TEOS)、或類似的材料)、一氮化物(例如氮化矽或類似材料)、一氧化氮化物(例如氧化氮化矽或類似材料)、或類似材料或其組合,而其可以利用例如是旋轉塗佈、化學氣相沈積、原子層沈積、電漿輔助化學氣相沈積及其類似方法或其組合等合適方法所形成。此絕緣材料超出第二罩幕層121中開口的部分被移除以暴露出第二罩幕層121的上表面。在一實施例中,移除一部分絕緣材料可以使用一合適的蝕刻製程。替代地,移除一部分絕緣材料可以使用一化學機械研磨、一磨碎製程或是類似的製程。在此例示實施例中,此合併切割特徵301具有與第二罩幕層121中開口203大致相同的長度,且其寬度是小於第二罩幕層121中的開口203(請參閱第2A和2B圖)。此合併切割特徵301的寬度係介於約15奈米到約30奈米之間,而其長度係介於約60奈米到約6000奈米之間。如同以下更詳細的描述,多重芯軸層(請參閱第4A和4B圖)形成於第二罩幕層121和合併切割特徵301之上。多重圖案被移轉至此芯軸層。此合併切割特徵301然後用來切割形成於芯軸層上的圖案,如此芯軸層上圖案的一部分並不會 移轉至第二罩幕層121和第一罩幕層119。在某些實施例中,合併切割特徵301材料的選取是使得合併切割特徵301相對於例如是第二罩幕層121和第一罩幕層119,或是之後形成於合併切割特徵301之上的芯軸層等鄰接層具有一理想的蝕刻選擇比。在此例示實施例中,僅形成一合併切割特徵301於第二罩幕層121之中。在替代實施例中,可以形成一個以上的合併切割特徵301於第二罩幕層121之中。在如此的實施例中,第2A到3B圖所描述的製程步驟就必須重複多次直到形成了理想數目的合併切割特徵301於第二罩幕層121之中。
請參閱第4A和4B圖,一第一芯軸層401形成於第二罩幕層121和合併切割特徵301之上,一芯軸蓋層403形成於第一芯軸層401之上,一第二芯軸層405形成於芯軸蓋層403之上。包含第一芯軸層401、芯軸蓋層403和第二芯軸層405的多層堆疊可以稱為一芯軸堆疊。此第一芯軸層401和第二芯軸層405可以包含利用化學氣相沈積、原子層沈積及其類似方法或其組合等方法所形成的非晶矽、非晶碳、氮氧化鋁(AlOxNy)及其類似材料或其組合等材料。芯軸蓋層403可以是利用例如旋轉塗佈、化學氣相沈積、原子層沈積及其類似方法或其組合等合適方法所形成的氧化矽、氧化鋁、SiCOH、SiOxCy、四乙氧基矽烷(TEOS)、或類似的材料或其組合。在某些實施例中,第一芯軸層401、芯軸蓋層403和第二芯軸層405材料的選取是使得第一芯軸層401、芯軸蓋層403和第二芯軸層405在後續的圖案化製程中具有一理想的蝕刻速率。在某些實施例中,第一芯軸層401的厚度係介於約300埃到約550埃之間、第二芯軸層405的厚度係介於約200埃到約500埃之間、芯軸蓋層403的厚度係介於約100埃到約300埃之間。
請繼續參閱第4A和4B圖,形成一第二三層罩幕407於此第二 芯軸層405之上。在某些實施例中,此第二三層罩幕407包含一底層4071、一中間層4072於此底層4071之上及一頂層4073於此中間層4072之上。在某些實施例中,此第二三層罩幕407之底層4071、中間層4072及頂層4073可以分別使用在第2A和2B圖中所描述的與第一三層罩幕201之底層2011、中間層2012及頂層2013類似的材料和方法形成,所以此處不再加以贅述。在某些實施例中,此底層4071的厚度係介於約500埃到約2000埃之間,此中間層4072的厚度係介於約100埃到約400埃之間,此頂層4073的厚度係介於約500埃到約1500埃之間。
此第二三層罩幕407的頂層4073被圖案化以在此第二三層罩幕407的頂層4073形成開口409。在某些實施例中,此第二三層罩幕407之頂層4073可以使用在第2A和2B圖中所描述的與第一三層罩幕201之頂層2013類似的方法加以圖案化,所以此處不再加以贅述。在某些實施例中,此開口409的寬度W2係介於約30奈米到約5000奈米之間,而其長度L2係介於約60奈米到約80000奈米之間,而相鄰兩開口409間的距離D1係介於約35奈米到約20000奈米之間。在此例示實施例中,僅顯示在此第二三層罩幕407的頂層4073形成兩個開口409。在其他的實施例中,可以在此第二三層罩幕407的頂層4073形成小於或大於兩個開口409。
請參閱第5A和5B圖,在此第二芯軸層405進行一第一圖案化製程以移轉第二三層罩幕407的開口409圖案(請參閱第4A和4B圖)至此第二芯軸層405。此第一圖案化製程在第二芯軸層405中形成開口501。在某些實施例中,此第一圖案化製程包含一次或多次蝕刻製程,其中此第二三層罩幕407係作為一蝕刻罩幕。此一次或多次蝕刻製程可以包括非等向性溼蝕刻 製程、非等向性乾蝕刻製程、及其組合。在某些實施例中,此第二芯軸層405可以利用包含氧、二氧化碳、CxHyFz、氬、氮、氫、或類似材料及其組合等蝕刻氣體之乾蝕刻製程來進行圖案化。因此,此第二芯軸層405中的開口501具有與第二三層罩幕407之頂層4073的個別開口409大致相同的尺寸及間距(請參閱第4A和4B圖)。在此第一圖案化製程中,此第二三層罩幕407之底層4071、中間層4072及頂層4073或許會被消耗。假如此第二三層罩幕407之底層4071、中間層4072及頂層4073之任何部分在此第一圖案化過程後被保留在此第二芯軸層405之上的話,這些殘留物也需要移除。此開口501的圖案也可以稱為線A(LA)圖案。因此,第4A和4B圖中所描述的微影製程也可以稱為LA微影術,且第5A和5B圖中所描述的蝕刻製程也可以稱為LA蝕刻術。
請繼續參閱第5A和5B圖,一第一間隙壁層503順形地形成於第二芯軸層405之上以及開口501之中。因此,開口501的寬度和長度被縮減了大約是第一間隙壁層503厚度T1的兩倍。此第一間隙壁層503可以包括一氧化物(例如氧化矽、氧化鋁、氧化鈦、或類似的材料)、一氮化物(例如氮化矽、氮化鈦或類似材料)、一氧化氮化物(例如氧化氮化矽或類似材料)、一氧化碳化物(例如氧化碳化矽或類似材料)、一氮化碳化物(例如氮化碳化矽或類似材料)、或類似材料或其組合,而其可以利用例如是化學氣相沈積、原子層沈積、電漿輔助化學氣相沈積及其類似方法或其組合等合適方法所形成。在某些實施例中,此第一間隙壁層503的厚度T1係介於約100埃到約200埃之間。
請參閱第6A和6B圖,圖案化第一間隙壁層503以在開口501的側壁形成第一間隙壁601。在某些實施例中,此第一間隙壁層503可以利用 一非等向性乾蝕刻來自第二芯軸層405的上表面以及開口501的底部移除第一間隙壁層503的水平部分。第一間隙壁層503的一部分保留在開口501的側壁以形成第一間隙壁601。在某些實施例中,第一間隙壁層503可以利用包含氯、氧、CxHyFz、氮、氫、溴化氫、氦、或類似材料及其組合等蝕刻氣體之乾蝕刻製程來進行圖案化。
請參閱第7A和7B圖,形成一第三三層罩幕701於此第二芯軸層405以及第一間隙壁601之上。此第三三層罩幕701包含一底層7011、一中間層7012於此底層7011之上及一頂層7013於此中間層7012之上。在某些實施例中,此第三三層罩幕701之底層7011、中間層7012及頂層7013可以分別使用在第2A和2B圖中所描述的與第一三層罩幕201之底層2011、中間層2012及頂層2013類似的材料和方法形成,所以此處不再加以贅述。在某些實施例中,此底層701的厚度係介於約500埃到約2000埃之間,此中間層7012的厚度係介於約100埃到約400埃之間,此頂層7013的厚度係介於約500埃到約1500埃之間。
此第三三層罩幕701的頂層7013被圖案化以在此第三三層罩幕701的頂層7013形成一開口703,使得第二芯軸層405中的開口501被此第三三層罩幕701保護。在某些實施例中,此第三三層罩幕701之頂層7013可以使用在第2A和2B圖中所描述的與第一三層罩幕201之頂層2013類似的方法加以圖案化,所以此處不再加以贅述。在某些實施例中,此開口703的寬度W3係介於約30奈米到約5000奈米之間,而其長度L3係介於約60奈米到約80000奈米之間,而相鄰兩開口703間的距離D2係介於約35奈米到約20000奈米之間。在某些實施例中,較窄開口501的寬度係小於或等於相鄰兩開口703間的距離D2,使得此較窄開口501可以被此圖案化之第三三層罩幕701所保護。在 此例示實施例中,僅顯示在此第三三層罩幕701之頂層7013形成三個開口703。在其他的實施例中,可以在此第三三層罩幕701之頂層7013形成小於或大於三個開口703。
請參閱第8A和8B圖,在此第二芯軸層405進行一第二圖案化製程以在第二芯軸層405中形成開口801。在某些實施例中,此第二圖案化製程包含一次或多次蝕刻製程,其中此第三三層罩幕701及第一間隙壁601係合併作為一合併蝕刻罩幕。此一次或多次蝕刻製程可以包括非等向性溼蝕刻製程、非等向性乾蝕刻製程、及其組合。因此,此第二芯軸層405中的開口801具有與介於開口409或開口501(請參閱第4A到5B圖)間之距離D1大致相同的寬度。在此第二圖案化製程中,此第三三層罩幕701之底層7011、中間層7012及頂層7013或許會被消耗。假如此第三三層罩幕701之底層7011、中間層7012及頂層7013之任何部分在此第二圖案化過程後被保留在此第二芯軸層405之上的話,這些殘留物也需要移除。此開口801的圖案也可以稱為線B(LB)圖案。因此,第7A和7B圖中所描述的微影製程也可以稱為LB微影術,且第8A和8B圖中所描述的蝕刻製程也可以稱為LB蝕刻術。
請參閱第9A和9B圖,形成一第四三層罩幕901於此第二芯軸層405以及第一間隙壁601之上。此第四三層罩幕901包含一底層9011、一中間層9012於此底層9011之上及一頂層9013於此中間層9012之上。在某些實施例中,此第四三層罩幕901之底層9011、中間層9012及頂層9013可以分別使用在第2A和2B圖中所描述的與第一三層罩幕201之底層2011、中間層2012及頂層2013類似的材料和方法形成,所以此處不再加以贅述。在某些實施例中,此底層901的厚度係介於約500埃到約2000埃之間,此中間層9012的厚度係介於 約100埃到約400埃之間,此頂層9013的厚度係介於約500埃到約1500埃之間。
此第四三層罩幕901的頂層9013被圖案化以在此第四三層罩幕901的頂層9013形成一開口903。在某些實施例中,此第四三層罩幕901的頂層9013可以使用在第2A和2B圖中所描述的與第一三層罩幕201之頂層2013類似的方法加以圖案化,所以此處不再加以贅述。在某些實施例中,此開口903的寬度W4係介於約30奈米到約50奈米之間,而其長度L4係介於約60奈米到約6000奈米之間,而相鄰兩開口903間的距離D2係介於約35奈米到約20000奈米之間。在此例示實施例中,當自上方俯視時開口903至少與第一間隙壁601之一重疊。如同以下更詳細的描述,第一間隙壁601沒有被此第四三層罩幕901所保護的一部分會被移除而在第一間隙壁601中形成間隙(請參閱第10A和10B圖),使得此間隙的寬度大致與此開口903的寬度W4相等。
請參閱第10A和10B圖,在此第一間隙壁601進行一圖案化製程以將第一間隙壁601沒有被此第四三層罩幕901所保護的一部分移除。此圖案化製程會在第一間隙壁601中形成一間隙1001。在某些實施例中,此圖案化製程包含一次或多次蝕刻製程,其中此第四三層罩幕901係作為一蝕刻罩幕。此一次或多次蝕刻製程可以包括非等向性溼蝕刻製程、非等向性乾蝕刻製程、及其組合。在某些實施例中,第一間隙壁601可以利用包含氯、氧、CxHyFz、氮、氫、溴化氫、氦、或類似材料及其組合等蝕刻氣體之乾蝕刻製程來進行圖案化。因此,此間隙1001具有與此第四三層罩幕901之開口903的寬度W4(請參閱第9A到9B圖)大致相同的寬度W5。在此圖案化製程中,此第四三層罩幕901之底層9011、中間層9012及頂層9013或許會被消耗。假如此第四三層罩幕901之底層9011、中間層9012及頂層9013之任何部分在此圖案化 過程後被保留在此第二芯軸層405之上的話,這些殘留物也需要移除。在此例示實施例中,僅形成一間隙1001於第一間隙壁601中。在替代實施例中,可以形成複數個間隙1001於第一間隙壁601中。在如此的實施例中,第9A到10B圖所描述的製程步驟就必須重複多次直到形成了理想數目的間隙1001於第一間隙壁601中。如同以下更詳細的描述,第一間隙壁601被用來在第一芯軸層401形成線C(LC)圖案。因此,第9A和9B圖中所描述的微影製程也可以稱為切LC微影術,且第10A和10B圖中所描述的蝕刻製程也可以稱為切LC蝕刻術,而間隙1001的圖案也可以稱為切LC圖案。
請參閱第11A和11B圖,在此芯軸蓋層403和第一芯軸層401進行一第一圖案化製程以將第二芯軸層405之中的開口501和801以及第一間隙壁601中的間隙1001(請參閱第10A和10B圖)移轉至此芯軸蓋層403和第一芯軸層401。此第一圖案化製程會形成分別與開口501和801對應的開口1101和1103,以及形成與間隙1005對應的間隙1105。因此,此第一圖案化製程移轉LA圖案、LB圖案、和切LC圖案至此芯軸蓋層403和第一芯軸層401。在某些實施例中,此第一圖案化製程包含一次或多次蝕刻製程,其中此第二芯軸層405及第一間隙壁601係合併作為一合併蝕刻罩幕。此一次或多次蝕刻製程可以包括非等向性溼蝕刻製程、非等向性乾蝕刻製程、及其組合。在某些實施例中,芯軸蓋層403和第一芯軸層401可以利用包含氧、二氧化碳、CxHyFz、氬、氮、氫、溴化氫、氯、氦、或類似材料及其組合等蝕刻氣體之一次或多次乾蝕刻製程來進行圖案化。因此,在芯軸蓋層403和第一芯軸層401中的開口1101具有與此第二芯軸層405之中的各自開口501(請參閱第10A到10B圖)大致相同的尺寸及間距。在芯軸蓋層403和第一芯軸層401中的 開口1103具有與此第二芯軸層405之中的各自開口801(請參閱第10A到10B圖)大致相同的尺寸及間距。此間隙1105具有與間隙1005(請參閱第10A到10B圖)大致相同的寬度。每一個開口1101和1103至少暴露出此第二罩幕層121的一部分,而在開口1101的至少一部分和開口1103的至少一部分暴露出其各自的合併切割特徵301的部分。
請參閱第12A和12B圖,於圖案化此芯軸蓋層403和第一芯軸層401以形成開口1101和1103之後,將第二芯軸層405以及第一間隙壁601移除。在某些實施例中,第二芯軸層405以及第一間隙壁601的移除係使用含一次或多次合適的蝕刻或是類似的製程。之後,一第二間隙壁層1201順形地形成於芯軸蓋層403之上以及開口1101和1103之中。因此,開口1101和1103的寬度和長度被縮減了大約是第二間隙壁層1201厚度T2的兩倍。在某些實施例中,第二間隙壁層1201填充間隙1105。在如此實施例中,間隙1105的寬度是小於或等於第二間隙壁層1201厚度T2的兩倍。此第二間隙壁層1201可以使用在第5A和5B圖中所描述的與第一間隙壁層503類似的材料和方法形成,所以此處不再加以贅述。在某些實施例中,第一間隙壁層503和第二間隙壁層1201是由相同的材料所形成。在其他的實施例中,第一間隙壁層503和第二間隙壁層1201是由不同的材料所形成。在某些實施例中,此第二間隙壁層1201的厚度T2係介於約100埃到約200埃之間。在某些實施例中,此第二間隙壁層1201的厚度T2係與第一間隙壁層503的厚度T1相同。在其他的實施例中,此第二間隙壁層1201的厚度T2係與第一間隙壁層503的厚度T1不同。
請參閱第13A和13B圖,將此第二間隙壁層1201圖案化以在開口1101和1103的側壁形成第二間隙壁1301。在某些實施例中,此第二間隙 壁層1201可以使用在第6A和6B圖中所描述的與第一間隙壁層503(請參閱第5A和5B圖)類似的方法加以圖案化,所以此處不再加以贅述。此圖案化製程自芯軸蓋層403的上表面以及開口1101和1103的底部移除第二間隙壁層1201的水平部分。第二間隙壁層1201的一部分保留在開口1101和1103的側壁以形成第二間隙壁1301。每一個開口1101和1103至少暴露出此第二罩幕層121的一部分,而在開口1101的至少一部分和開口1103的至少一部分暴露出其各自的合併切割特徵301的部分。在某些實施例中,第二間隙壁層1201的圖案化製程暴露芯軸蓋層403的側壁。
請參閱第14A和14B圖,形成一栓塞層1401於芯軸蓋層403之上以及填入開口1101和1103(請參閱第13A到13B圖)。此栓塞層1401包括氧化矽、氧化鋁、SiCOH、SiOxCy、四乙氧基矽烷(TEOS)、或類似的材料或其組合,而其可以利用例如是旋轉塗佈、化學氣相沈積、原子層沈積、電漿輔助化學氣相沈積及其類似方法或其組合等合適方法所形成。如同以下更詳細的描述,栓塞層1401保護第二罩幕層121的一部份及合併切割特徵301的一部分不會被進一步圖案化。
請參閱第15A和15B圖,此栓塞層1401超出第二間隙壁1301上表面的部分被移除以暴露出第二間隙壁1301的上表面。栓塞層1401的一部份保留於開口1101和1103中以形成栓塞1501。此外,芯軸蓋層403被移除以暴露出第一芯軸層401的上表面。在某些實施例中,移除一部分的栓塞層1401及芯軸蓋層403可以使用一次或多次蝕刻製程。舉例而言,移除一部分的栓塞層1401及芯軸蓋層403可以使用包含氧、二氧化碳、CxHyFz、氬、氮、氫、溴化氫、氯、氦、或類似材料及其組合等蝕刻氣體之一次或多次乾蝕刻製 程來進行圖案化。在如此的實施例中,栓塞1501的上表面大致與第二間隙壁1301的上表面等高或是略低於第二間隙壁1301的上表面。替代地,移除栓塞層1401的一部份和芯軸蓋層403可以使用一化學機械研磨、一磨碎製程或是類似或其組合的製程。
請參閱第16A和16B圖,形成一第五三層罩幕1601於此第一芯軸層401、第二間隙壁1301及栓塞1501之上。此第五三層罩幕16901包含一底層16011、一中間層16012於此底層16011之上及一頂層16013於此中間層16012之上。在某些實施例中,此第四三層罩幕1601之底層16011、中間層16012及頂層16013可以分別使用在第2A和2B圖中所描述的與第一三層罩幕201之底層2011、中間層2012及頂層2013類似的材料和方法形成,所以此處不再加以贅述。在某些實施例中,此底層1601的厚度係介於約500埃到約2000埃之間,此中間層16012的厚度係介於約100埃到約400埃之間,此頂層16013的厚度係介於約500埃到約1500埃之間。
此第五三層罩幕1601的頂層16013被圖案化以在此第五三層罩幕1601的頂層16013形成一開口1603。在某些實施例中,此第四三層罩幕1601的頂層16013可以使用在第2A和2B圖中所描述的與第一三層罩幕201之頂層2013類似的方法加以圖案化,所以此處不再加以贅述。在某些實施例中,此開口1603的寬度W6係介於約30奈米到約5000奈米之間,而其長度L6係介於約60奈米到約80000奈米之間。
請參閱第17A和17B圖,在此第一芯軸層401進行一第二圖案化製程以將第一芯軸層401沒有被此第五三層罩幕1601所保護的一部分選擇性的移除以在第一芯軸層401中形成開口1701和1701c。此開口1701和1701c 暴露出第二罩幕層121的一部份及合併切割特徵301的一部分。在某些實施例中,此第二圖案化製程包含一次或多次蝕刻製程,其中此第五三層罩幕1601係作為一蝕刻罩幕。此一次或多次蝕刻製程可以包括非等向性溼蝕刻製程、非等向性乾蝕刻製程、及其組合。舉例而言,第一芯軸層401可以利用包含氧、二氧化碳、CxHyFz、氬、氮、氫、溴化氫、氯、氦、或類似材料及其組合等蝕刻氣體之乾蝕刻製程來進行圖案化。因此,此開口1701具有與開口1603的長度L6(請參閱第16A到16B圖)大致相同的長度,且此開口1701和1701c的寬度大約是與第一間隙壁層503(請參閱第5A到5B圖)厚度T1相當。在此圖案化製程中,此第五三層罩幕1601之底層16011、中間層16012及頂層16013或許會被消耗。假如此第五三層罩幕1601之底層16011、中間層16012及頂層16013之任何部分在此第二圖案化過程後被保留在此第一芯軸層401、第二間隙壁1301及栓塞1501之上的話,這些殘留物也需要移除。開口1701圖案也可以稱為線C(LC)圖案,而開口1701c圖案也可以稱為具有切口的線C(LC)圖案。因此,第16A和16B圖中所描述的微影製程也可以稱為LC微影術,且第17A和17B圖中所描述的蝕刻製程也可以稱為LC蝕刻術。
請參閱第18A和18B圖,在此合併切割特徵301進行一圖案化製程以將合併切割特徵301裸露的部分選擇性地移除。此圖案化製程裸露出第一罩幕層119的一部份。在某些實施例中,此圖案化製程包含一次或多次蝕刻製程,其中此第五三層罩幕1601的底層16011、第二間隙壁1301及栓塞1501係共同作為一共同蝕刻罩幕。在某些實施例中,裸露的合併切割特徵301可以使用舉例而言,包含氧、二氧化碳、CxHyFz、氬、氮、氫、溴化氫、氯、氦、或類似材料及其組合等或其他合適的蝕刻氣體之乾蝕刻製程來進 行圖案化而不會傷害到第二罩幕層121。
請參閱第19A和19B圖,移除在第一芯軸層401、第二間隙壁1301及栓塞1501之上的此第五三層罩幕1601的底層16011。在某些實施例中,此第五三層罩幕1601的底層16011是利用灰化製程搭配濕式清潔製程來移除。在其他的實施例中,其他的合適製程可以使用舉例而言,一蝕刻製程來移除此第五三層罩幕1601的底層16011。之後,移除栓塞1501以暴露出第二罩幕層121的一部份及合併切割特徵301的一部分。在某些實施例中,此栓塞1501可以使用舉例而言,包含氧、二氧化碳、CxHyFz、氬、氮、氫、溴化氫、氯、氦、或類似材料及其組合等或其他合適的蝕刻氣體之乾蝕刻製程來進行移除而不會傷害到第二間隙壁1301、第二罩幕層121及合併切割特徵301。
請參閱第20A和20B圖,在此第二罩幕層121進行一圖案化製程以將沒有第一芯軸層401及第二間隙壁1301所保護的第二罩幕層121部分選擇性的移除。在某些實施例中,此圖案化製程包含一次或多次蝕刻製程,其中此第一芯軸層401及第二間隙壁1301係共同作為一共同蝕刻罩幕。在某些實施例中,此第二罩幕層121的一部分是利用例如包含氧、二氧化碳、CxHyFz、氬、氮、氫、溴化氫、氯、氦、或類似材料及其組合等或其他合適的蝕刻氣體之乾蝕刻製程來進行移除而不會傷害到第二間隙壁1301及合併切割特徵301。
請繼續參閱第20A和20B圖,於圖案化此第二罩幕層121和合併切割特徵301之後,在包含第二罩幕層121和合併切割特徵301的一結合層中形成開口2001、2001c、2003、2003c、2005、和2005c。每一個開口2001與 開口501(請參閱第5A到5B圖)對應且具有LA圖案。開口2001c與一各自的開口501對應,其是一個沒有自合併切割特徵301之一部分301a移除的切口圖案。開口2001c是具有切口的LA圖案。因此,分隔相鄰開口2001c之間隙2007具有與合併切割特徵301的寬度W1大致相同的寬度。此外,開口2001和2001c的寬度大致是W2-2T1-2T2,其中W2是開口501或409的寬度,T1是第一間隙壁層503(請參閱第5A和5B圖)的厚度,而T2是第二間隙壁層1201(請參閱第12A和12B圖)厚度。每一個開口2003與各自的開口801(請參閱第8A和8B圖)對應且具有LB圖案。開口2003c與一各自的開口801對應,其是一個沒有自合併切割特徵301之一部分301b移除的切口圖案。開口2003c是具有切口的LB圖案。因此,分隔相鄰開口2003c之間隙2009具有與合併切割特徵301的寬度W1大致相同的寬度。此外,開口2003和2003c的寬度大致是D1-2T1-2T2,其中D1是相鄰開口501間的距離,T1是第一間隙壁層503(請參閱第5A和5B圖)的厚度,而T2是第二間隙壁層1201(請參閱第12A和12B圖)厚度。每一個開口2005與各自的開口1701(請參閱第17A和17B圖)對應且具有LC圖案。每一個開口2005c與一各自的開口1701c對應。因此,開口2005c是具有切口的LC圖案。分隔相鄰開口2005c之間隙2011具有與間隙1011(請參閱第10A和10B圖)的寬度W5大致相同的寬度。此外,開口2005和2005c的寬度大致與是第一間隙壁層503(請參閱第5A和5B圖)的厚度T1相同,且相鄰開口2001、2001c、2003、2003c、2005、和2005c間的距離是相當於第二間隙壁層1201厚度T2
在某些實施例中,開口501的寬度W2、相鄰開口501間的距離D1、第一間隙壁層503的厚度T1、及第二間隙壁層1201厚度T2可以適當選取使得開口2001、2001c、2003、2003c、2005、和2005c的形成具有理想的寬度與 間距。舉例而言,在某些實施例中,每一個開口2001、2001c、2003、2003c、2005、和2005c具有寬度X而開口2001、2001c、2003、2003c、2005、和2005c具有寬度X的形成具有均勻的間距2X,其寬度W2選取為大約是5X,距離D1是選取為大約是3X,而厚度T1和T2選取為大約是X。在某些實施例中,X係介於約100埃到約200埃之間。
請參閱第21A和21B圖,將第二罩幕層121和合併切割特徵301之上的第一芯軸層401及第二間隙壁1301移除。此第一芯軸層401及第二間隙壁1301選擇性的移除可以使用例如一次或多次的合適蝕刻製程。在某些實施例中,此第一芯軸層401是利用舉例而言,一包含氧、二氧化碳、CxHyFz、氬、氮、氫、或類似材料及其組合等或其他合適的蝕刻氣體之乾蝕刻製程移除。而第二間隙壁1301是利用舉例而言,一包含氯、氧、CxHyFz、氮、氫、或類似材料及其組合等或其他合適的蝕刻氣體之乾蝕刻製程移除。
請參閱第22A和22B圖,在此第一罩幕層119進行一圖案化製程以將開口2001、2001c、2003、2003c、2005、和2005c(請參閱第21A和21B圖)的圖案移轉至此第一罩幕層119。此圖案化製程會在第一罩幕層119中形成與各自開口2001、2001c、2003、2003c、2005、和2005c對應的開口。此第一罩幕層119中的開口將抗反射層117裸露出來。在某些實施例中,此圖案化製程包含一合適的蝕刻製程,其中此第二罩幕層121和合併切割特徵301係共同作為一共同蝕刻罩幕。此合適的蝕刻製程可以包括非等向性溼蝕刻製程、非等向性乾蝕刻製程、及其組合。在某些實施例中,此第一罩幕層119是利用舉例而言,一包含氯、氧、CxHyFz、氮、氫或類似材料及其組合等或其他合適的蝕刻氣體之乾蝕刻製程來進行圖案化。之後,此第二罩幕層 121及合併切割特徵301可以使用例如一合適的蝕刻製程移除。在某些實施例中,此第二罩幕層121和合併切割特徵301係是利用例如如包含氧、二氧化碳、CxHyFz、氬、氮、氫、或類似材料及其組合等或其他合適的蝕刻氣體之乾蝕刻製程來移除。
請參閱第23A和23B圖,在此抗反射層117和介電層111M進行一圖案化製程以將第一罩幕層119的圖案移轉至此抗反射層117和介電層111M。此圖案化製程在抗反射層117和介電層111M中產生開口2301、2301c、2303、2303c、2305、和2305c。這些開口2301、2301c、2303、2303c、2305、和2305c分別與開口2001、2001c、2003、2003c、2005、和2005c(請參閱第21A和21B圖)對應。這些開口2301、2301c、2303、2303c、2305、和2305c將導線113M-1的一部分暴露出來。在某些實施例中,此圖案化製程包含一次或多次合適的蝕刻製程,其中此第一罩幕層119係作為一蝕刻罩幕。此一次或多次合適的蝕刻製程可以包括非等向性溼蝕刻製程、非等向性乾蝕刻製程、及其組合。此抗反射層117是利用舉例而言,一包含C4F8、氧、氬、氮或類似材料及其組合等或其他合適的蝕刻氣體之乾蝕刻製程來進行圖案化。
此處所描述的許多不同層次的材料可以選取以確保不同層之間的足夠蝕刻選擇比。在一例示實施例中,此第一罩幕層119包含氮化鈦、第二罩幕層121包含四乙氧基矽烷(TEOS)、第一芯軸層401和第二芯軸層405包含非晶矽、芯軸蓋層403包含四乙氧基矽烷(TEOS)、第一間隙壁601及第二間隙壁1301包含氧化鈦、合併切割特徵301包含氮化矽(SixNy)、及栓塞1501包含氧碳氮化矽(SiOxCyNz)。在其他例示實施例中,此第一罩幕層119包含氧化鈦、第二罩幕層121包含氧碳化矽(SiOxCy)、第一芯軸層401和第二 芯軸層405包含氮氧化鋁(AlOxNy)、芯軸蓋層403包含氧碳化矽(SiOxCy)、第一間隙壁601及第二間隙壁1301包含氮化鈦、合併切割特徵301包含玻璃、及栓塞1501包含氧碳氮化矽(SiOxCyNz)。這些實施例僅是用來說明可能使用的材料組合且本說明書並非用來限制於這些特定的實施例之中。
請參閱第24A和24B圖,這些開口2301、2301c、2303、2303c、2305、和2305c(請參閱第23A和23B圖)中填入適當的導電材料以形成導線113M。這些適當的導電材料包括鋁、銅、鎢、其組合、其合金、或類似的導電材料。此導線113M還可以包含一層或多層阻障/附著(未顯示於圖中)層,這些阻障層或附著層係用以保護介電層111M不會有擴散或是金屬中毒的問題。此一層或多層阻障/附著層可以利用例如是物理氣相沈積、化學氣相沈積、原子層沈積及其類似方法等合適方法所形成的包含例如是鈦、氮化鈦、鉭、氮化鉭或類似的導電材料。在某些實施例中,形成此導線113M的步驟可以包括沈積一層或多層阻障/附著層於開口2301、2301c、2303、2303c、2305、和2305c的側壁及底部,沈積一合適的導電種子材料於一層或多層阻障/附著層之上,以及藉由例如是電鍍或是其他合適的方法將合適的導電材料填入開口2301、2301c、2303、2303c、2305、和2305c中。然後進行化學機械研磨以將超出開口2301、2301c、2303、2303c、2305、和2305c的多餘導電材料移除以將介電層111M的上表面裸露。在某些實施例中,多餘的導電材料可以使用一化學機械研磨、一磨碎製程或是類似或其組合的製程加以移除。在某些實施例中,在形成此導線113M前先將此第一罩幕層119和抗反射層117移除。在替代的實施例中,此第一罩幕層119和抗反射層117可以在移除多餘的導電材料時一併移除。
在某些實施例中,此金屬層109M是此互連結構105的最後一層,且形成金屬層109M即完成此互連結構105的形成。在其他的實施例中,此金屬層109M是此互連結構105的中間金屬層。在如此的實施例中,會再形成其他的金屬層於此金屬層109M之上直到完成此互連結構105的形成。在某些實施例中,於完成此互連結構105之後還會在此半導體結構100上進行其他的製程步驟。其他的製程步驟可以包括形成接觸墊以及一層或多層的保護層於此互連結構105之上,形成凸塊下金屬化(UBM)結構於接觸墊之上,及形成連接器於凸塊下金屬化(UBM)結構之上。之後,此半導體結構100可以切割成個別的晶粒,其可以進一步進行不同的封裝製程。
第25圖顯示根據本發明某些實施例之形成一半導體結構的方法2500製程流程圖。此方法2500自步驟2501開始,其中如同之前第1A和1B圖中所描述的,一罩幕層(例如第1A和1B圖中所示的第二罩幕層121)形成於一目標層(例如第1A和1B圖中所示的介電層111M)之上。在步驟2503,一個或多個合併切割特徵(例如第3A和3B圖中所示的合併切割特徵301)形成於之前在第2A到3B圖中所描述的罩幕層之中。在步驟2505,一第一芯軸層(例如第4A和4B圖中所示的第一芯軸層401)形成於之前在第4A到4B圖中所描述的第二罩幕層和一個或多個合併切割特徵之上。在步驟2507,一第二芯軸層(例如第4A和4B圖中所示的第二芯軸層405)形成於之前在第4A到4B圖中所描述的第一芯軸層之上。在步驟2509,此第二芯軸層進行圖案化以形成開口(例如第5A和5B圖中所示的開口501)於之前在第4A到5B圖中所描述的結構之中。在步驟2511,形成第一間隙壁(例如第6A和6B圖中所示的第一間隙壁601)於之前在第5A到6B圖中所描述的第一開口的側壁。在步驟2513,此第二 芯軸層進行圖案化以形成第二開口(例如第8A和8B圖中所示的開口801)於之前在第7A到8B圖中所描述的結構之中。在步驟2515,第一間隙壁進行圖案化以形成一個或多個間隙(例如第10A和10B圖中所示的間隙1001)於之前在第9A到10B圖中所描述的結構之中。在步驟2517,此第一芯軸層進行圖案化以形成第三開口(例如第11A和11B圖中所示的開口1101和1103)於之前在第11A到11B圖中所描述的結構之中。在步驟2519,形成第二間隙壁(例如第13A和13B圖中所示的第二間隙壁1301)形成於之前在第12A到13B圖中所描述的第三開口的側壁。在步驟2521,形成栓塞(例如第15A和15B圖中所示的栓塞1501)於之前在第12A到13B圖中所描述的第三開口之中。在步驟2523,此第一芯軸層進行圖案化以形成第四開口(例如第17A和17B圖中所示的開口1701和1701c)於之前在第16A到17B圖中所描述的結構之中。在步驟2525,使用之前在第18A到18B圖中所描述的第二間隙壁及栓塞作為一共同蝕刻罩幕,將合併切割特徵進行圖案化。在步驟2527,將之前在第19A到19B圖中所描述的栓塞移除。在步驟2529,使用之前在第20A到20B圖中所描述的第二間隙壁作為蝕刻罩幕,將罩幕層進行圖案化。在步驟2531,使用罩幕層和一個或多個合併切割特徵作為共同蝕刻罩幕,將目標層進行圖案化以形成第五開口(第23A到23B圖中的開口2301、2301c、2303、2303c、2305、和2305c)。在某些實施例中,此目標層是介電層,這些開口中填入之前在第24A到24B圖中所描述的導電材料。
此處所討論的許多不同實施例允許圖案化一半導體結構中的目標層以形成具有較小尺寸與間距的特徵。在某些目標層是介電層的實施例中,此處所討論的實施例允許在此介電層中形成互連線。許多不同的 實施例更允許藉由克服因為同時存在密集/分散線環境下所造成的薄膜沈積拓樸問題而允許改良了罩幕圖案化製程窗口。
根據一實施例,一種圖案化形成一半導體特徵的方法包括形成一罩幕層於一目標層之上。一合併切割特徵形成於此罩幕層之上。一第一芯軸層形成於此罩幕層和合併切割特徵之上。此第一芯軸層進行圖案化以形成第一開口於其中,這些第一開口至少一者包括一第一部分、一第二部分、及一與此第二部分連接的第三部分、此第一部分的長軸與此第二部分的長軸平行。第一間隙壁形成於此第一開口的側壁。第一開口中填入一介電材料以形成栓塞。此第一芯軸層進行圖案化以除去插在相鄰第一間隙壁間的第一芯軸層部分。使用此第一間隙壁及栓塞作為一共同蝕刻罩幕,將此合併切割特徵進行圖案化。除去此栓塞。使用此第一間隙壁作為蝕刻罩幕,將此罩幕層進行圖案化。然後使用此罩幕層及合併切割特徵作為一共同蝕刻罩幕,將此目標層進行圖案化以形成第二開口於其中。
根據另一實施例,一種圖案化形成一半導體特徵的方法包括形成一罩幕層於一目標層之上,此罩幕層包含一第一材料。一個或多個合併切割特徵形成於此罩幕層之上,此一個或多個合併切割特徵包含一與此第一材料不同的第二材料。一第一芯軸層形成於此罩幕層和一個或多個合併切割特徵之上。一第二芯軸層形成於此第一芯軸層之上。此第二芯軸層進行蝕刻以形成複數個第二芯軸部分。形成第一間隙壁於複數個第二芯軸部分的側壁。此第二芯軸層進行蝕刻以除去複數個第二芯軸部分。第一間隙壁進行圖案化以形成一個或多個間隙於第一間隙壁間。此第一芯軸層進行圖案化以形成複數個第一芯軸部分。形成第二間隙壁於複數個第一芯軸 部分的側壁。相鄰第二間隙壁的間隙中填入介電材料以形成栓塞。複數個第一芯軸部分選擇性地蝕刻以露出此罩幕層的第一部份及一個或多個合併切割特徵的第一部份。一個或多個合併切割特徵的第一部份選擇性地蝕刻。選擇性地蝕刻此栓塞以露出此罩幕層的第二部份及一個或多個合併切割特徵的第二部份。選擇性地蝕刻此罩幕層的第一部份及第二部份。然後使用罩幕層及合併切割特徵作為一共同蝕刻罩幕,將目標層進行圖案化以形成第一開口於之前在所描述的結構之中。
根據又一實施例,一種圖案化形成一半導體特徵的方法包括沈積一介電層於一基板之上,沈積一罩幕堆疊於此介電層之上。一個或多個合併切割特徵形成於此罩幕堆疊的一頂層之上。一芯軸堆疊形成於此罩幕堆疊之上。此芯軸堆疊的一芯軸頂層進行蝕刻以形成第一開口於此芯軸頂層中。形成第一間隙壁於這些第一開口的側壁。此芯軸堆疊的一芯軸頂層進行蝕刻以除去插在相鄰第一間隙壁間的芯軸頂層部分。第一間隙壁進行蝕刻以形成一個或多個間隙於第一間隙壁間。使用第一間隙壁及此芯軸頂層作為一共同蝕刻罩幕,對此芯軸堆疊的一芯軸底層進行蝕刻,以形成第二開口於此芯軸底層中。形成第二間隙壁於這些第二開口的側壁。形成栓塞於此第二開口中。對此芯軸堆疊的一芯軸底層進行蝕刻,以除去插在相鄰第二間隙壁間的芯軸底層部分。使用第二間隙壁及此栓塞作為一共同蝕刻罩幕,對此一個或多個合併切割特徵選擇性地蝕刻。使用第二間隙壁作為一蝕刻罩幕,選擇性地蝕刻此罩幕頂層。使用此罩幕頂層作為一蝕刻罩幕,將此罩幕堆疊中未被圖案化的層次進行蝕刻。
前述實施例中描述之諸特徵可使發明所屬領域中具有通常 知識者便於理解本說明書之實施態樣,並可利用本說明書為實現相同目的及/或達成相同功效,設計或改進其他製造程序或裝置結構。發明所屬領域中具有通常知識者亦應理解此些均等手法並非脫逸於本說明書所含要旨與範圍之外,且其可在本說明書所含要旨與範圍之內進行變更、置換及改造。

Claims (1)

  1. 一種圖案化形成一半導體結構特徵的方法,包括:形成一罩幕層於一目標層之上;形成一合併切割特徵於所述罩幕層之上;形成一第一芯軸層於所述罩幕層和所述合併切割特徵之上;圖案化所述第一芯軸層以形成複數個第一開口於其中,所述複數個第一開口至少一者包括一第一部分、一第二部分、及一與所述第二部分連接的第三部分、所述第一部分的一長軸與所述第二部分的一長軸平行;形成第一間隙壁於所述複數個第一開口的側壁;填入介電材料於所述複數個第一開口中以形成栓塞;圖案化所述第一芯軸層以除去插在相鄰所述第一間隙壁間的所述第一芯軸層的部分;使用所述第一間隙壁及栓塞作為一共同蝕刻罩幕,圖案化所述合併切割特徵;除去所述栓塞;使用所述第一間隙壁作為蝕刻罩幕,圖案化所述罩幕層;以及使用所述罩幕層及合併切割特徵作為一共同蝕刻罩幕,圖案化所述目標層以形成複數個第二開口於其中。
TW106114373A 2016-10-18 2017-04-28 自動對準雙重間隙壁圖案化製程 TW201816846A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/296,620 2016-10-18
US15/296,620 US9818613B1 (en) 2016-10-18 2016-10-18 Self-aligned double spacer patterning process

Publications (1)

Publication Number Publication Date
TW201816846A true TW201816846A (zh) 2018-05-01

Family

ID=60255680

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106114373A TW201816846A (zh) 2016-10-18 2017-04-28 自動對準雙重間隙壁圖案化製程

Country Status (3)

Country Link
US (2) US9818613B1 (zh)
CN (1) CN107958842A (zh)
TW (1) TW201816846A (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI657500B (zh) * 2017-07-31 2019-04-21 台灣積體電路製造股份有限公司 多重圖案化方法、自對準多重圖案化方法及自對準雙重圖案化方法

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9818613B1 (en) * 2016-10-18 2017-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned double spacer patterning process
US10388644B2 (en) * 2016-11-29 2019-08-20 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing conductors and semiconductor device which includes conductors
US10483108B2 (en) * 2017-04-28 2019-11-19 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US10559492B2 (en) 2017-11-15 2020-02-11 Taiwan Semiconductor Manufacturing Company, Ltd. Patterning methods for semiconductor devices and structures resulting therefrom
US10340180B1 (en) 2018-01-16 2019-07-02 Globalfoundries Inc. Merge mandrel features
US10446395B1 (en) 2018-04-11 2019-10-15 Globalfoundries Inc. Self-aligned multiple patterning processes with layered mandrels

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8852851B2 (en) * 2006-07-10 2014-10-07 Micron Technology, Inc. Pitch reduction technology using alternating spacer depositions during the formation of a semiconductor device and systems including same
KR101736983B1 (ko) * 2010-06-28 2017-05-18 삼성전자 주식회사 반도체 소자 및 반도체 소자의 패턴 형성 방법
US9214360B2 (en) * 2013-05-01 2015-12-15 Globalfoundries Inc. Methods of patterning features having differing widths
US8987008B2 (en) * 2013-08-20 2015-03-24 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit layout and method with double patterning
US9123776B2 (en) * 2013-12-04 2015-09-01 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned double spacer patterning process
US9129906B2 (en) * 2013-12-05 2015-09-08 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned double spacer patterning process
US9136106B2 (en) * 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
KR20160084236A (ko) * 2015-01-05 2016-07-13 삼성전자주식회사 반도체 소자 및 그 제조 방법
CN104952918A (zh) * 2015-04-29 2015-09-30 上海华力微电子有限公司 一种鳍式场效应晶体管的制造方法
US9818613B1 (en) * 2016-10-18 2017-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned double spacer patterning process

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI657500B (zh) * 2017-07-31 2019-04-21 台灣積體電路製造股份有限公司 多重圖案化方法、自對準多重圖案化方法及自對準雙重圖案化方法
US10347506B2 (en) 2017-07-31 2019-07-09 Taiwan Semiconductor Manufacturing Co., Ltd. Multiple patterning method using mask portions to etch semiconductor substrate
US10535532B2 (en) 2017-07-31 2020-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple patterning method using mask portions to etch semiconductor substrate

Also Published As

Publication number Publication date
US10056258B2 (en) 2018-08-21
US9818613B1 (en) 2017-11-14
US20180108527A1 (en) 2018-04-19
CN107958842A (zh) 2018-04-24

Similar Documents

Publication Publication Date Title
US10510729B2 (en) 3DIC interconnect apparatus and method
CN109326521B (zh) 多重图案化方法
US10840287B2 (en) 3DIC interconnect apparatus and method
TW201816846A (zh) 自動對準雙重間隙壁圖案化製程
CN107424954B (zh) 半导体结构的制造方法
US10020259B2 (en) Copper etching integration scheme
US8164196B2 (en) Semiconductor device and method for manufacturing the same
US8962432B2 (en) Semiconductor device with self aligned end-to-end conductive line structure and method for forming the same
US8728936B1 (en) Copper etching integration scheme
US10269567B2 (en) Multi-layer mask and method of forming same
US8980745B1 (en) Interconnect structures and methods of forming same
US7217663B2 (en) Via hole and trench structures and fabrication methods thereof and dual damascene structures and fabrication methods thereof
JP2007059434A (ja) 半導体装置の製造方法
JPWO2005013356A1 (ja) 溝配線を有する半導体装置および半導体装置の製造方法
US20170148735A1 (en) Interconnect Structure for Semiconductor Devices
US7704820B2 (en) Fabricating method of metal line
TWI803138B (zh) 半導體元件及其製造方法
KR20050116479A (ko) 이중 다마신 공정을 사용하여 비아콘택 구조체를 형성하는방법