TWI796643B - 封裝體及其製造方法 - Google Patents

封裝體及其製造方法 Download PDF

Info

Publication number
TWI796643B
TWI796643B TW110107943A TW110107943A TWI796643B TW I796643 B TWI796643 B TW I796643B TW 110107943 A TW110107943 A TW 110107943A TW 110107943 A TW110107943 A TW 110107943A TW I796643 B TWI796643 B TW I796643B
Authority
TW
Taiwan
Prior art keywords
wafer
chip
bonding pad
die
bonding
Prior art date
Application number
TW110107943A
Other languages
English (en)
Other versions
TW202209517A (zh
Inventor
余振華
余國寵
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US17/077,618 external-priority patent/US11462495B2/en
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202209517A publication Critical patent/TW202209517A/zh
Application granted granted Critical
Publication of TWI796643B publication Critical patent/TWI796643B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/10Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices having separate containers
    • H01L25/105Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices having separate containers the devices being of a type provided for in group H01L27/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/50Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor for integrated circuit devices, e.g. power bus, number of leads
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49827Via connections through the substrates, e.g. pins going through the substrate, coaxial cables
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5381Crossover interconnections, e.g. bridge stepovers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/03Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L24/19Manufacturing methods of high density interconnect preforms
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L24/20Structure, shape, material or disposition of high density interconnect preforms
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/82Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by forming build-up interconnects at chip-level, e.g. for high density interconnects [HDI]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/18Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different subgroups of the same main group of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1068Formation and after-treatment of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05005Structure
    • H01L2224/05009Bonding area integrally formed with a via connection of the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/4805Shape
    • H01L2224/4809Loop shape
    • H01L2224/48091Arched
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/0651Wire or wire-like electrical connections from device to substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06555Geometry of the stack, e.g. form of the devices, geometry to facilitate stacking
    • H01L2225/06568Geometry of the stack, e.g. form of the devices, geometry to facilitate stacking the devices decreasing in size, e.g. pyramidical stack
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49811Additional leads joined to the metallisation on the insulating substrate, e.g. pins, bumps, wires, flat leads
    • H01L23/49816Spherical bumps on the substrate for external connection, e.g. ball grid arrays [BGA]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5384Conductive vias through the substrate with or without pins, e.g. buried coaxial conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5385Assembly of a plurality of insulating substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5389Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates the chips being integrally enclosed by the interconnect and support structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/181Encapsulation

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Geometry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Laminated Bodies (AREA)

Abstract

本公開提供一種方法包括在第一晶片的前側上形成多個 積體電路,在第一晶片上執行背側研磨以顯露出第一晶片中的多個穿孔,以及使用鑲嵌製程在第一晶片的背側上形成第一橋接結構。橋接結構具有第一接合接墊、第二接合接墊及將第一接合接墊電性連接至第二接合接墊的導電跡線。所述方法更包括藉由面對背接合將第二晶片及第三晶片接合至第一晶片。第二晶片的第三接合接墊接合至第一晶片的第一接合接墊。第三晶片的第四接合接墊接合至第一晶片的第二接合接墊。

Description

封裝體及其製造方法
本發明實施例是有關於一種封裝體及其製造方法,特別是涉及一種小晶片式三維系統整合晶片的系統整合及其製造方法。
在積體電路的封裝中,多個小晶片(chiplet)可被接合至同一個較大的底部晶片。小晶片可能需要相互通訊。傳統上,可藉由穿透底部晶片的基底的多個矽穿孔且進一步藉由底部晶片中的內連線結構來進行通訊。隨著對積體電路的要求越來越嚴刻,此種連接方案不能滿足嚴苛要求。舉例來說,採用此種方案的封裝體的配線路徑較長,而可能無法滿足高功率效率(high power efficiency)及低延遲(low latency)的要求。
本發明實施例提供一種封裝體的製造方法,所述製造方法包括:在第一晶片的前側上形成多個積體電路;在所述第一晶 片上執行背側研磨,以顯露出所述第一晶片中的多個穿孔;使用鑲嵌製程在所述第一晶片的背側上形成第一橋接結構,其中所述第一橋接結構包括第一接合接墊、第二接合接墊及將所述第一接合接墊電性連接至所述第二接合接墊的導電跡線;以及藉由面對背接合將第二晶片及第三晶片接合至所述第一晶片,其中所述第二晶片的第三接合接墊接合至所述第一晶片的所述第一接合接墊,且所述第三晶片的第四接合接墊接合至所述第一晶片的所述第二接合接墊。
本發明實施例提供一種封裝體,其包括第一晶片、第二晶片以及第三晶片。所述第一晶片包括半導體基底、位於所述半導體基底的前側處的積體電路、穿透所述半導體基底的多個穿孔及位於所述半導體基底的背側上的橋接結構。所述橋接結構包括第一接合接墊、第二接合接墊以及將所述第一接合接墊電性耦合至所述第二接合接墊的導電跡線。所述第二晶片藉由面對背接合而接合至所述第一晶片,所述第二晶片包括接合至所述第一接合接墊的第三接合接墊。所述第三晶片藉由面對背接合而接合至所述第一晶片,所述第三晶片包括接合至所述第二接合接墊的第四接合接墊。
本發明實施例提供一種封裝體,其包括第一晶片、第二晶片及第三晶片。所述第一晶片包括半導體基底、第一內連線結構、橋接結構以及穿孔。所述第一內連線結構位於所述半導體基底的前側上,其中所述第一內連線結構包括多個第一鑲嵌結構。 所述橋接結構位於所述半導體基底的背側上,其中所述橋接結構包括多個第二鑲嵌結構。所述穿孔穿過所述半導體基底,其中所述穿孔內連所述第一內連線結構及所述橋接結構。所述第二晶片及所述第三晶片具有接合至所述第一晶片的前側,其中所述第二晶片及所述第三晶片接合至所述橋接結構並與所述橋接結構實體接觸。
20:晶圓
22:晶片/第一層晶片
26:基底
28:積體電路
30、78、130:穿孔
32、36、39、44、46、54、89、89A、89B:介電層
34、74、88、106:內連線結構
38、50:導電特徵
40:凸塊下金屬
42:焊料區
48:開口
49:背側內連線結構
50A、61A:層
50B、61B:材料
52:蝕刻終止層
54A:子層
54B:子層/介電層
56:溝渠
58:通孔開口
60:鑲嵌結構/金屬線
60A:接合接墊/部分
60B:金屬跡線/部分
62:鑲嵌結構/通孔
64、87:橋接結構
66、、110:封裝體
68:封裝體組件/第二層晶片
68A、68B、68C:第二層晶片
70:表面介電層
72:接合接墊
76:基底/半導體基底
79:絕緣層/隔離層
80:間隙填充材料
82:電性路徑
83:虛線路線
84、84A、84B、84C:第三層晶片
86:電力重佈線路徑
90:微凸塊
92、116、131、148:底部填充膠
102、107:扇出型封裝體
104:模製穿孔
105、118、146:包封體
108:積體被動裝置
112、124:倒裝晶片式晶片級封裝體
114、126、128、134、144:封裝體組件
137:基底上晶圓上晶片結構
140:中介層/封裝體組件
142:封裝基底
200:製程流程
202、204、206、208、210、212、214、216、218、220、222:製程
結合附圖閱讀以下詳細說明,會最佳地理解本揭露的各個態樣。應注意,根據本行業中的標準慣例,各種特徵並非按比例繪製。事實上,為論述清晰起見,可任意增大或減小各種特徵的尺寸。
圖1至圖9示出根據一些實施例在晶圓中形成背側橋接結構(backside bridge structure)的中間階段的剖視圖。
圖10示出根據一些實施例的鑲嵌結構(damascene structure)的放大視圖。
圖11示出根據一些實施例的一些橋接結構的俯視圖。
圖12至圖16示出根據一些實施例的具有背側橋接結構的一些封裝體的剖視圖。
圖17至圖20示出根據一些實施例的一些封裝體的剖視圖。
圖21示出根據一些實施例的形成具有背側橋接結構的封 裝體的製程流程。
以下揭露內容提供用於實作本發明實施例的不同特徵的諸多不同的實施例或實例。以下闡述組件及佈置的具體實例以簡化本揭露。當然,該些僅為實例而非旨在進行限制。例如,在以下說明中,在第二特徵之上或第二特徵上形成第一特徵可包括其中第一特徵與第二特徵被形成為直接接觸的實施例,且亦可包括其中第一特徵與第二特徵之間可形成附加特徵進而使得第一特徵與第二特徵可不直接接觸的實施例。另外,本揭露在各種實例中可重複使用參考編號及/或字母。此種重複使用是為了簡明及清晰起見,且自身並不表示所論述的各個實施例及/或配置之間的關係。
此外,為易於說明,本文中可能使用例如「下伏的」、「在...下方」、「下部的」、「上覆的」、「上部的」等空間相對性用語來闡述圖中所示一個元件或特徵與另一(其他)元件或特徵的關係。所述空間相對性用語旨在除圖中所繪示的定向外還囊括裝置在使用或操作中的不同定向。設備可被另外定向(旋轉90度或處於其他定向),且本文中所用的空間相對性描述語可同樣相應地進行解釋。
根據一些實施例,提供一種包括背側橋接結構的封裝體及其形成方法。根據本揭露的一些實施例,在第一層晶片的背側上形成背側橋接結構。藉由面對背接合(face-to-back bonding)方 案將多個第二層晶片接合至第一層晶片,且在第二層晶片之間形成多個電性路徑(electrical path)。電性路徑包括在第一層晶片中預先形成的背側橋接結構。藉由形成在底部晶片的背側上的橋接結構,電性路徑為短的,且因此所得封裝體可滿足功率效率及延遲要求。本文所論述的實施例是為了提供能夠製造或使用本揭露的標的的實例,且此項技術中具有通常知識者將容易理解於不同實施例中保持所設想範圍內的同時而可進行的多種修改。在所有各個視圖及說明性實施例通篇中,相同的參考編號用於標示相同的元件。儘管方法實施例可被論述為以特定次序執行,但其他方法實施例可以任何邏輯次序來執行。
圖1至圖9及圖12示出根據本揭露一些實施例在封裝體的形成的中間階段的剖視圖。對應的製程亦示意性地反映在如圖21所示的製程流程200中。
圖1示出晶圓20的剖視圖。根據其他實施例,晶圓20是裝置晶圓,晶圓20中包括多個積體電路28。積體電路28可包括多個主動裝置(例如電晶體等)及/或多個被動裝置(例如電容器、電阻器、電感器及/或類似物等)。根據一些實施例,晶圓20是沒有主動裝置的中介層晶圓,且可包括或可不包括被動裝置。
晶圓20包括基底26及延伸至基底26中的多個穿孔(through via)30(有時稱為矽穿孔(through-silicon via)或基底穿孔(through-substrate via))。例如積體電路28中的電晶體等主動裝置可延伸至基底26中。在本說明通篇中,基底26的具有主 動裝置的側及/或穿孔30自其延伸至基底26中的側被稱為基底26的前側(front side),且相對的側被稱為基底26的背側(back side)。因此,晶圓20的在基底26的前側上的側被稱為晶圓20的前側,且相對的側被稱為晶圓20的背側。在圖1所示的實例中,頂側(top side)是基底26及晶圓20的前側,且底側(bottom side)是背側。根據本揭露的一些實施例,基底26是半導體基底,其可為矽基底、矽鍺基底、碳摻雜矽基底、III-V族化合物基底等。當基底26由半導體材料形成時,穿孔30被介電環(dielectric ring)包圍,所述介電環將穿孔30與基底26電性絕緣。穿孔30延伸至基底26的頂表面與底表面之間的中間水平高度。穿孔30是導電的,且可由銅、鋁、鎢等形成。
根據一些實施例,在基底26之上形成介電層32(其可為層間介電質)。根據一些實施例,穿孔30可延伸至介電層32中。穿孔30的頂表面可與基底26的頂表面齊平,與介電層32的頂表面齊平,或者可與介電層32之上的任何介電層的頂表面齊平。
晶圓20包括多個晶片22,晶片22是未鋸切晶圓20的一部分。晶片22可為裝置晶片、中介層晶片等。根據一些實施例,晶片22是輸入/輸出(input/output,IO)晶片、計算晶片(例如中央處理單元(Central Processing Unit,CPU)晶片、圖形處理單元(Graphics Processing Unit,GPU)晶片)、深溝渠電容器(Deep Trench Capacitor,DTC)中介層、積體電壓調節器(Integrated Voltage Regulator,IVR)晶片等。晶片22亦可為其中包括電晶體 及被動裝置的任何其他類型的晶片。
介電層32之上可存在內連線結構34,內連線結構34包括多個介電層36(亦被稱為金屬間介電質(Inter-metal Dielectric,IMD))及形成在介電層36中的多個導電特徵38。應理解,可存在由所示介電層36及所示導電特徵38表示的多層的介電層36及多層的導電特徵38。根據一些實施例,導電特徵38包括多個金屬線及對相鄰層中的金屬線進行內連的多個通孔。同一水平高度處的多個金屬線在下文中被統稱為金屬層。根據本揭露的一些實施例,內連線結構34包括藉由通孔內連的多個金屬層。根據本揭露的一些實施例,介電層36由低介電常數(low-k)介電材料形成。例如,低介電常數介電材料的介電常數(k值)可低於約3.0。介電層36可由含碳低介電常數介電材料、氫倍半矽氧烷(Hydrogen SilsesQuioxane,HSQ)、甲基倍半矽氧烷(MethylSilsesQuioxane,MSQ)等形成或包含該些材料。根據本揭露的一些實施例,形成介電層36包括沈積含成孔劑(porogen-contaning)的介電材料,且然後執行固化製程以驅除成孔劑,且因此剩餘的介電層36是多孔的。導電特徵38可由銅或銅合金形成,其可由鑲嵌(單鑲嵌(single damascene)及雙鑲嵌(dual damascene)製程)形成。
導電特徵38包括鑲嵌結構,其可更包括單鑲嵌結構及雙鑲嵌結構。需注意的是,導電特徵38為示意性地示出,且所示導電特徵38可代表多層的鑲嵌結構。示例性單鑲嵌結構可具有與圖10所示導電特徵50相似的結構並由與其相似的材料形成。示例性 雙鑲嵌結構可具有與圖10所示雙鑲嵌結構60/62相似的結構並由與其相似的材料形成。此外,在雙鑲嵌結構中,導電線位於同一雙鑲嵌結構中的相應通孔的上側上。
在導電特徵36之上形成介電層39及電性耦合至導電特徵36的多個凸塊下金屬(Under-Bump Metallurgy,UBM)40。根據一些實施例,在凸塊下金屬40上形成多個焊料區(solder region)42。根據替代實施例,焊料區42在稍後階段(例如,在如圖9所示製程之後或者在如圖12所示接合及包封製程之後以及可能在如圖12所示鋸切製程之前)形成。
參考圖2,執行背側研磨(backside grinding)製程以移除基底26的一部分,直至顯露出穿孔30。相應的製程被示出為如圖21所示製程流程200中的製程202。接下來,如圖3所示,可使基底26稍微凹陷(例如,藉由蝕刻),使得穿孔30自基底26的背表面突出。相應的製程被示出為如圖21所示製程流程200中的製程204。接下來,沈積介電層44,隨後進行平坦化製程(例如化學機械研磨(Chemical Mechanical Polish,CMP)製程或機械研磨製程),以重新暴露出穿孔30,進而形成圖4所示的結構。相應的製程被示出為如圖21所示製程流程200中的製程206。在所得結構中,穿孔30穿透基底26及介電層44二者。根據一些實施例,介電層44由氧化矽、氮化矽等形成或包含氧化矽、氮化矽等。
隨後,形成背側內連線結構49(圖9),背側內連線結構49包括形成在其中的一個或多個金屬層及一個或多個橋接結構。 背側內連線結構49可包括僅單鑲嵌結構、僅雙鑲嵌結構、或者一個或多個單鑲嵌結構與一個或多個雙鑲嵌結構的組合。圖5及圖6示出根據一些實施例使用單鑲嵌製程形成介電層46及多個導電特徵50。根據替代實施例,介電層46及導電特徵50的形成被跳過,且形成在隨後的雙鑲嵌製程中的多個通孔與穿孔30直接接觸。參考圖5,沈積介電層46,且然後進行蝕刻。相應的製程被示出為如圖21所示製程流程200中的製程208。根據一些實施例,介電層46由氧化矽、氮化矽、氮氧化矽、碳氧化矽、矽酸鹽玻璃等形成。介電層46的材料可不同於介電層44的材料,使得在介電層46的後續蝕刻中,介電層44不會被蝕刻穿透。執行微影製程來蝕刻介電層46,使得形成多個開口48。穿孔30暴露於開口48。
參考圖6,形成導電特徵50。相應的製程被示出為如圖21所示製程流程200中的製程210。導電特徵50可包括用於使隨後形成的通孔著陸的多個金屬接墊,且可包括或可不包括用於對電性連接部(electrical connection)進行重新佈線的跡線(trace)。根據一些實施例,形成導電特徵50包括:沈積共形擴散阻擋層(類似於圖10中的膜層50A),在擴散阻擋層之上鍍覆金屬材料(例如銅,類似於圖10中的金屬材料50B),以及執行平坦化製程(例如CMP製程或機械研磨製程),以移除多餘的材料。
應理解,可形成如圖6所示的介電層46及導電特徵50,或者根據其他實施例可跳過該些特徵的形成。在跳過介電層46及導電特徵50的形成製程的實施例中,多個後續通孔將與穿孔30 直接接觸,如圖12作為實例所示。
圖7至圖9示出藉由雙鑲嵌製程形成橋接結構。應理解,儘管作為實例示出單層的橋接結構,但根據用於對相鄰晶片進行內連的橋接結構的期望計數,可存在包括多層的單鑲嵌及/或雙鑲嵌結構的橋接結構。參考圖7,藉由沈積形成蝕刻終止層52及介電層54。相應的製程被示出為如圖21所示製程流程200中的製程212。根據一些實施例,蝕刻終止層52由氮化矽、碳化矽、氮氧化矽、氧碳氮化矽等形成。接下來,沈積介電層54。介電層54可由含矽介電材料形成,所述含矽介電材料可為氧化矽、氮化矽、氮氧化矽等。當介電層54不是晶圓20中的頂部介電層,且在介電層54之上形成更多介電層時,介電層54可由低介電常數介電材料形成。否則,介電層54可由如上所述的非低介電常數介電材料形成。根據一些實施例,介電層54包括子層54A及位於子層54A之上的子層54B,其中子層54A及54B由不同的材料形成,使得子層54A用於形成通孔開口,而子層54B用於形成溝渠。進一步使用子層54A來停止用於形成溝渠的蝕刻。根據替代實施例,整個介電層54由均勻材料(homogeneous material)形成。
參考圖8,形成多個溝渠56及多個通孔開口58。相應的製程被示出為如圖21所示製程流程200中的製程214。根據介電層54包括子層54A及子層54B的一些實施例,使用介電層54A作為蝕刻介電層54B的蝕刻終止層來執行溝渠56的形成。根據介電層54是均勻層的替代實施例,使用時間模式來控制何時停止用 於形成溝渠56的蝕刻,使得溝渠56可停止在介電層54的頂表面與底表面之間的中間水平高度處。通孔開口58被形成為穿透子層54A(若存在的話)及蝕刻終止層52,使得顯露出導電特徵50。
圖9示出根據一些實施例的多個橋接結構64的形成,橋接結構64包括金屬線60及可能的通孔62。金屬線60及通孔62組合起來形成雙鑲嵌結構。相應的製程被示出為如圖21所示製程流程200中的製程216。參考圖10可找到示例性雙鑲嵌結構的一些細節,圖10示出單鑲嵌結構及雙鑲嵌結構的放大視圖。根據一些實施例,形成金屬線60及通孔62可包括:沈積共形擴散阻擋層(是指圖10中的膜層61A),在擴散阻擋層之上鍍覆金屬材料(例如銅,是指圖10中的金屬材料61B),以及執行平坦化製程(例如CMP製程或機械研磨製程),以移除多餘的材料。金屬線60(其亦包括接合接墊60A及金屬跡線60B)的頂表面與介電層54的頂表面共面。
根據一些實施例,介電層54及金屬線60是晶圓20的頂部特徵,且所述頂部特徵用於接合至封裝體組件,例如圖12至圖16所示的封裝體組件68。根據替代實施例,可形成額外的介電層,且可在介電層54之上形成電性連接至金屬線60的單鑲嵌結構或雙鑲嵌結構。結構及形成製程可類似於已經參考圖5至圖9示出及論述的內容,且因此此處不再重複。
金屬線60(以及可能的通孔62)中的一些形成橋接結構64,橋接結構64用於兩個晶片之間的電性連接,如圖12至圖16 所示。參考圖11,示出兩個示例性橋接結構64,橋接結構64中的每一者包括兩個部分60A及部分60B,部分60A亦被稱為接合接墊60A,部分60B亦被稱為金屬跡線60B。金屬跡線60B對接合接墊60A進行內連。在圖11中,金屬跡線60B較金屬接墊60A窄。在圖11中,金屬跡線60B具有與金屬接墊60A相同的寬度。
返回參考圖9,橋接結構64包括兩個接合接墊60A及對接合接墊60A進行內連的電性路徑。在如圖9所示的示例性實施例中,電性路徑包括金屬跡線60B。根據在晶圓20的背側上存在二個或更多個金屬層(及對應的鑲嵌結構)而非在與接合接墊60A相同的層中具有金屬跡線的替代實施例,電性路徑可包括兩個通孔62及位於下伏金屬層中的下伏金屬跡線(未示出),下伏金屬跡線對所述兩個通孔62進行電性內連。在示例性實施例中,通孔62著陸在相應的下伏金屬接墊上,而相應的下伏金屬接墊藉由其間的金屬跡線進行內連。
根據一些實施例,例如,當橋接結構包括位於頂部金屬層中的金屬跡線60B時,根據電路的要求,可形成或可不形成下伏通孔62。根據一些實施例,存在兩個通孔62、兩個導電特徵50及兩個穿孔30(如圖9所示)下伏在同一橋接結構64之下並電性連接至同一橋接結構64。根據替代實施例,存在一個通孔62、一個導電特徵50及一個穿孔30(如圖9所示)電性連接至橋接結構64。例如,通孔62中的一者、導電特徵50中的一者及穿孔30中的一者被示為虛線,以指示該些特徵可形成或可不形成。在又一 些替代實施例中,橋接結構不直接連接至任何下伏通孔62、導電特徵50及穿孔30。橋接結構是否具有任何下伏連接通孔62、導電特徵50及穿孔30取決於電路要求,且晶片22可包括該些結構的任何組合。
圖12至圖16示出根據一些實施例的基於晶圓20的封裝體66的形成。圖12至圖16中所示的晶圓20及對應的晶片22被示意性地示出,且晶圓20的細節可參考圖1至圖9的論述找到。此外,圖9中的焊料區42在圖12至圖16中未示出,然焊料區42可形成或者可不形成於圖12至圖16所示的封裝體中。參考圖12簡要論述封裝體66的形成,且本揭露亦可應用於如圖13至圖16所示封裝體66的形成。在本說明通篇中,晶片22被稱為第一層晶片(first-tier chip)。
參考圖12,將多個第二層晶片(second-tier chip)68接合至晶圓20中的第一層晶片22。相應的製程被示出為如圖21所示製程流程200中的製程218。第二層晶片68可為選自但不限於以下者的晶片:計算晶片、揮發性記憶體(Volatile Memory)(例如靜態隨機存取記憶體(Static Random Access Memory,SRAM)等)、非揮發性記憶體(Non-Volatile Memory,NVM)(例如動態隨機存取記憶體(Dynamic Random Access Memory,DRAM)等)、人工智慧型(Artificial Intelligence,AI)加速器等。第二層晶片68可包括數位晶片及類比晶片。此外,第二層晶片68可為堆疊晶片(立方體(cube))或單個晶片。舉例來說,SRAM晶片、DRAM 晶片及NVM晶片可堆疊以形成記憶體立方體。記憶體立方體中可能不具有控制器。根據一些實施例,如同其他第二層晶片68一樣,控制器可放置在記憶體立方體的旁邊。控制器藉由橋接結構64訊號連接至記憶體立方體並與之通訊。積體電路裝置(未示出)形成在第二層晶片68中,所述積體電路裝置可包括形成在對應基底76的前側(面朝下的一側)上的電晶體。
根據一些實施例,第二層晶片68包括表面介電層70及位於表面介電層70中的多個接合接墊72,表面介電層70的表面及接合接墊72的表面為共面。根據一些實施例,表面介電層70由氧化矽形成。表面介電層70亦可由其他含矽介電材料(例如氮化矽、碳化矽、氮氧化矽、氧碳氮化矽等)形成。根據一些實施例,接合接墊72可由銅或銅合金形成。第二層晶片68亦可包括半導體基底76以及位於半導體基底76與對應接合接墊72之間的內連線結構74。內連線結構74亦包括未詳細示出的多個介電層、多個金屬線及多個通孔。於相鄰的第二層晶片68中的多個接合接墊72中的一些接合接墊72接合至橋接結構64的相對的端部,且藉由橋接結構64彼此電性連接。
根據一些實施例,將多個穿孔78形成為延伸至半導體基底76中。根據其他實施例,穿孔78不形成在第二層晶片68中。應理解,根據這些實施例,由於第二層晶片68是頂層晶片(top-tier chip),因此穿孔78不用於電性功能,而是可被形成用於例如幫助散熱。因此,封裝體66可被(或可不被)研磨,直至穿孔78被 暴露出,且散熱器(heat sink)可被放置在穿孔78之上並接觸穿孔78,使得在第二層晶片68及第一層晶片22中產生的熱量可被有效地散逸至散熱器。根據一些實施例,在這些實施例中的穿孔78可為電性浮動的(electrically floating)或被電性接地(electrically grounded)。穿孔78藉由絕緣層79與半導體基底76電性絕緣及實體絕緣。在隨後的圖13至圖20中,未示出絕緣層79,但其仍然存在。
根據一些實施例,第二層晶片68接合至第一層晶片22可藉由面對背接合進行,其中第二層晶片68的前側接合至第一層晶片22的背側。根據一些實施例,接合藉由混合接合(hybrid bonding)來執行,其中第二層晶片68的表面介電層70藉由熔融接合(fusion bonding)而接合至第一層晶片22中的介電層44,且接合接墊72藉由直接金屬對金屬接合(direct metal-to-metal bonding)而接合至金屬接墊60A。熔融接合可藉由產生Si-O-Si鍵來達成,其中Si位於表面介電層70及介電層44中的一者中,O-Si位於表面介電層70及介電層44中的另一者中。在接合結構的俯視圖中,第一層晶片22大於至少一個第二層晶片68,且可能大於上覆於其上的二個或更多個的第二層晶片68的組合。第一層晶片22可側向延伸超過包括接合在其上的所有第二層晶片68的組合區。
根據一些實施例,包括雙鑲嵌結構60/62的介電層54是由均勻材料形成的單層。根據替代實施例,其中包括雙鑲嵌結構 60/62的介電層54是包括子層54A及子層54B的雙層(參考圖9)。
在將第二層晶片68接合至第一層晶片22之後,間隙填充材料(gap-filling material)80被施用以填充其之間的間隙並包封第二層晶片68。相應的製程被示出為如圖21所示製程流程200中的製程220。間隙填充材料80可由例如模製化合物、模製底部填充膠、環氧樹脂、樹脂等有機材料形成或者是包括所述有機材料。作為另一選擇,間隙填充材料80亦可由例如氮化矽、氧化矽等無機材料形成。例如,間隙填充材料可包括作為黏合層(其亦作為襯墊)的氮化矽層以及位於氮化矽層上的氧化矽層。若是以可流動形式形成施加的間隙填充材料80,則隨後將其固化。然後執行平坦化製程(例如CMP製程或機械研磨製程),以整平間隙填充材料80的頂表面。根據一些實施例,如圖12所示,當仍有一部分間隙填充材料80覆蓋第二層晶片68時,停止平坦化製程。根據替代實施例,執行平坦化製程,直至暴露出穿孔78。根據另外的其他實施例,執行平坦化製程,直至暴露出將穿孔78與基底26絕緣的隔離層79之後,但在隔離層79的頂部部分被研磨透之前。因此,穿孔78被隔離層79覆蓋及圍繞。
第二層晶片68接合至晶圓20可為晶圓級,其中多組的第二層晶片68被接合至對應的第一層晶片22。可執行鋸切製程以鋸穿(sawing)間隙填充材料80,以形成多個封裝體66。相應的製程被示出為如圖21所示製程流程200中的製程222。
圖12示出包括橋接結構64的電性路徑82。電性路徑82 用於相鄰的第二層晶片68之間的電性連接及訊號通訊。此外,橋接結構64可用於傳輸及重佈電力。例如,電性路徑82示出示例性電力傳輸路線,其中電力由位於第一層晶片22內部或之下的電源(未示出)提供。例如,根據一些實施例,晶片22可為積體電壓調節器(IVR)晶片。電力通過穿孔30中的一者,並被饋送至第二層晶片68A。電力在第二層晶片68A中的內連線結構74中被進一步傳輸,且傳輸至橋接結構64,使得電力被提供給第二層晶片68B。藉由此種電力供應方案,電力及訊號路徑為短的,此乃因第二層晶片68之間的訊號通訊及電力傳輸不需要到達第一層晶片22的前側,如虛線路線83所示。
圖13示出根據替代實施例的封裝體66。該些實施例類似於圖12所示的實施例,除了在圖12中,鑲嵌結構的通孔62與穿孔30實體接觸,而在圖13中,導電特徵50形成在穿孔30之上並接觸穿孔30,且通孔62與導電特徵50接觸,其中導電特徵50可使用單鑲嵌製程形成。此外,如圖13所示,在介電層54A及介電層54B之間繪製的虛線指示介電層54可由均勻材料形成,或者可包括兩個介電層。
圖14示出根據替代實施例的封裝體66。此些實施例類似於圖12所示的實施例,除了存在三個第二層晶片68(包括68A、68B及68C)接合至同一第一層晶片22。第二層晶片68A、68B及68C中的每一者可藉由橋接結構64電性連接至相鄰的第二層晶片。根據一些實施例,電力藉由穿孔30中的一者自晶片22的前 側傳輸,並藉由橋接結構64及第二層晶片68中的內連線結構74分散至所有的第二層晶片68。示出示例性電力重佈路徑86。多個訊號亦藉由第二層晶片68之間的橋接結構64傳輸。此外,如圖14所示,在介電層54A及介電層54B之間繪製的虛線指示介電層54可由均勻材料形成,或者可包括兩個介電層。
圖15示出根據替代實施例的封裝體66。該些實施例類似於圖14所示的實施例,除了多個第三層晶片(third-tier chip)84(包括84A、84B及84C)藉由面對背接合而接合至對應的第二層晶片68(包括68A、68B及68C)。因此,多個橋接結構87形成在第二層晶片68的背側上。橋接結構87可具有與橋接結構64類似的結構,且使用與橋接結構64類似的方法及類似的材料來形成。第三層晶片84A、84B及84C中的每一者可藉由橋接結構87電性連接至相鄰的第三層晶片。根據一些實施例,電力藉由穿孔30中的一者傳輸,並分散至第二層晶片68。電力進一步藉由穿孔78中的一個或多者傳輸,並藉由橋接結構87及第三層晶片84中的內連線結構88分散至所有第三層晶片84。訊號亦藉由第三層晶片84之間的橋接結構87傳輸。此外,如圖15所示,在介電層54A及介電層54B之間繪製的虛線指示介電層54可由均勻材料形成,或者可包括兩個介電層。在介電層89A及介電層89B之間繪製的虛線指示介電層89可由均勻材料形成,或者可包括兩個介電層。
在圖12至圖15所示的實施例中,使用混合接合來將上層晶片接合至下層晶片。根據替代實施例,如圖12至圖15所示 的接合方案可用其他接合方案(例如微凸塊直接接合(micro-bump direct bonding)、焊料接合(solder bonding)等)代替。例如,圖16示出與圖12所示實施例類似的實施例,除了使用多個微凸塊90來將第二層晶片68接合至第一層晶片22。微凸塊90可為金屬柱、焊料區或包括金屬柱及位於金屬柱上的焊料區的複合結構。根據一些實施例,在上層晶片(例如第二層晶片68)與對應的下層晶片(例如第一層晶片22)之間分配有底部填充膠92。
封裝體66可用於各種應用,圖17至圖20示出示例性應用中的一些。如圖17至圖20所示的封裝體66可為如圖12至圖16所示的任何封裝體,或者是該些實施例的組合及/或修改。參考圖17,形成封裝體110。封裝體66用於扇出型封裝體102中,扇出型封裝體102包括封裝體66、多個模製穿孔104以及將封裝體66及模製穿孔104包封於其內的包封體105。內連線結構106被形成為側向延伸超過封裝體66的邊緣(edge)的扇出型結構。根據一些實施例,積體被動裝置(Integrated Passive Device,IPD)108接合至內連線結構106,其中積體被動裝置(IPD)108可為電容器晶粒、電阻器晶粒、電感器晶粒等。扇出型封裝體102進一步接合至扇出型封裝體107。扇出型封裝體107可包括例如記憶體晶粒、記憶體立方體等。
圖18示出具有接合至封裝體組件114的封裝體66的倒裝晶片式晶片級封裝體112。封裝體組件114可由封裝基底、中介層、印刷電路板等形成;或是,封裝體組件114可包括封裝基底、 中介層、印刷電路板等。接合可包括混合接合、焊料(倒裝晶片(flip-chip))接合、金屬對金屬直接接合等。底部填充膠116可分配在封裝體66與封裝體組件114之間的間隙中。可進一步分配包封體118以包封封裝體66。
圖19示出具有接合至封裝體組件128的封裝體66的(倒裝晶片式)晶片級封裝體124。封裝體組件128可為中介層晶片、裝置晶片等。多個穿孔130形成在封裝體組件128中,並穿透封裝體組件128的基底。封裝體組件128進一步接合至封裝體組件134,封裝體組件134可為封裝基底、印刷電路板等。根據一些實施例,多個封裝體組件126(可為裝置晶片、封裝體、記憶體立方體等)進一步接合至封裝體組件128,且例如藉由封裝體組件128中的多個重佈線走線電性連接至封裝體66。進一步分配底部填充膠116、131及包封體118。
圖20示出一種基底上晶圓上晶片(Chip-on-Wafer-on-Substrate,CoWoS)結構138,其中封裝體66充當晶片且被接合至中介層140。接合可在中介層140作為是中介層晶圓的情況下執行,因此所得結構被稱為晶圓上晶片(Chip-on-Wafer,CoW)結構。所得之CoW結構接著被鋸切成多個封裝體,且封裝體中的一者被接合至封裝基底142。中介層140可不具有主動裝置,且可具有或不具有被動裝置。底部填充膠148被分配在中介層140與封裝基底142之間。此外,封裝體組件144可為裝置晶片、封裝體、記憶體立方體等,並被接合至封裝體組 件140。包封體146將封裝體66及封裝體組件144包封在其中。
在上述實施例中,根據本揭露的一些實施例論述一些製程及特徵,以形成三維(three-dimensional,3D)封裝體。亦可包括其他特徵及製程。例如,可包括測試結構,以幫助對三維封裝體或三維積體電路(three-dimensional integrated circuit,3DIC)裝置進行驗證測試。所述測試結構可例如包括在重佈線層中或在基底上形成的測試接墊,以使得能夠對三維封裝體或三維積體電路進行測試、對探針及/或探針卡(probe card)進行使用等。可對中間結構以及最終結構執行驗證測試。另外,本文中所揭露的結構及方法可結合包括對已知良好晶粒進行中間驗證的測試方法來使用,以提高良率並降低成本。
本揭露的有一些實施例具有一些有利的特徵。藉由在下部晶片的背側上形成橋接結構,接合至下部晶片的上部晶片可藉由橋接結構彼此電性內連並訊號通訊。電性連接及訊號通訊不需要穿過下部晶片的前側(穿過下部晶片中的穿孔),使得功率效率提高,且延遲減少。此外,可使用鑲嵌結構形成橋接結構,且橋接結構的線寬及節距可為小的,使得可增加訊號路徑的密度及總計數。
根據本揭露的一些實施例,一種方法包括:在第一晶片的前側上形成多個積體電路;在所述第一晶片上執行背側研磨以顯露出所述第一晶片中的多個穿孔;使用鑲嵌製程在所述第一晶片的背側上形成第一橋接結構,其中所述第一橋接結構包括第一 接合接墊、第二接合接墊及將所述第一接合接墊電性連接至所述第二接合接墊的導電跡線;以及藉由面對背接合將第二晶片及第三晶片接合至所述第一晶片,其中所述第二晶片的第三接合接墊接合至所述第一晶片的所述第一接合接墊,且所述第三晶片的第四接合接墊接合至所述第一晶片的所述第二接合接墊。在一個實施例中,所述形成所述第一橋接結構包括雙鑲嵌製程。在一個實施例中,由所述雙鑲嵌製程形成的雙鑲嵌結構包括通孔及位於所述通孔之上並與所述通孔連接的所述導電跡線,且其中所述通孔與所述多個穿孔中的一個穿孔實體接觸。在一個實施例中,所述形成所述第一橋接結構包括單鑲嵌製程。在一個實施例中,所述方法更包括:在所述第一晶片的所述背側上形成與所述多個穿孔中的第一穿孔及第二穿孔接觸的第一金屬接墊及第二金屬接墊,其中所述第一金屬接墊及所述第二金屬接墊分別電性連接至所述第一晶片及所述第二晶片。在一個實施例中,所述第一晶片、所述第二晶片及所述第三晶片以組合形式包括電力供應路徑,且所述電力供應路徑包括:位於所述多個穿孔中的一個穿孔、位於所述第一晶片中的第一內連線結構、所述第一橋接結構、及位於所述第二晶片中的第二內連線結構。在一個實施例中,所述方法更包括:在所述第一晶片中形成第二橋接結構,其中所述第二橋接結構包括第五接合接墊及第六接合接墊,且其中所述第二晶片進一步接合至所述第五接合接墊;以及將第四晶片接合至所述第一晶片的所述第六接合接墊,其中所述電力供應路徑更包括所述第 二橋接結構。在一個實施例中,所述第二晶片及所述第三晶片全部與所述第一晶片交疊,且所述第一晶片側向延伸超過所述第二晶片及所述第三晶片的所有邊緣。在一個實施例中,所述方法更包括將所述第二晶片及所述第三晶片包封在包封體中;以及鋸穿所述包封體及包括所述第一晶片的晶圓,以將所述第一晶片、所述第二晶片及所述第三晶片分成為一個封裝體。在一個實施例中,所述方法更包括將所述封裝體封裝至附加封裝體中。在一個實施例中,所述方法更包括:在所述第二晶片及所述第三晶片的背側上形成附加橋接結構;在所述第二晶片之上接合第四晶片;以及在所述第三晶片之上接合第五晶片,其中所述第四晶片藉由所述附加橋接結構電性連接至所述第五晶片。在一個實施例中,所述附加橋接結構包括分別連接至所述第二晶片及所述第三晶片中的多個穿孔的第一通孔及第二通孔。
根據本揭露的一些實施例,一種封裝體包括:第一晶片,其中所述第一晶片包括:半導體基底、位於所述半導體基底的前側處的積體電路、穿透所述半導體基底的多個穿孔、及位於所述半導體基底的背側上的橋接結構,其中所述橋接結構包括:第一接合接墊、第二接合接墊、以及將所述第一接合接墊電性耦合至所述第二接合接墊的導電跡線;第二晶片,藉由面對背接合而接合至所述第一晶片,所述第二晶片包括接合至所述第一接合接墊的第三接合接墊;以及第三晶片,藉由面對背接合而接合至所述第一晶片,所述第三晶片包括接合至所述第二接合接墊的第四接 合接墊。在一個實施例中,所述橋接結構更包括與所述多個穿孔中的第一穿孔實體接觸的第一通孔。在一個實施例中,所述橋接結構更包括與所述多個穿孔中的第二穿孔實體接觸的第二通孔。在一個實施例中,所述第一通孔、所述第一接合接墊、所述第二接合接墊及所述導電跡線是同一雙鑲嵌結構的部分。
根據本揭露的一些實施例,一種封裝體包括:第一晶片,其中所述第一晶片包括半導體基底、位於所述半導體基底的前側上的第一內連線結構,其中所述第一內連線結構包括多個第一鑲嵌結構、位於所述半導體基底的背側上的橋接結構,其中所述橋接結構包括多個第二鑲嵌結構、以及穿透所述半導體基底的穿孔,其中所述穿孔對所述第一內連線結構及所述橋接結構進行內連;以及第二晶片及第三晶片,具有前側接合至所述第一晶片,其中所述第二晶片及所述第三晶片接合至所述橋接結構且與所述橋接結構實體接觸。在一個實施例中,所述封裝體包括電力供應路徑,其中所述電力供應路徑包括所述穿孔、所述第二晶片的第二內連線結構、所述橋接結構及所述第三晶片的第三內連線結構。在一個實施例中,所述第一晶片包括位於所述半導體基底的所述背側上的雙鑲嵌結構,且其中所述雙鑲嵌結構包括通孔,並且所述通孔與所述穿孔實體接觸。在一個實施例中,所述封裝體包括:位於所述半導體基底的所述背側上的單鑲嵌結構,其中所述單鑲嵌結構與所述穿孔實體接觸;及位於所述半導體基底的所述背側上的雙鑲嵌結構,其中所述雙鑲嵌結構包括通孔,且所述 通孔與所述單鑲嵌結構實體接觸。
以上概述了若干實施例的特徵,以使熟習此項技術者可更佳地理解本揭露的各個態樣。熟習此項技術者應理解,其可容易地使用本揭露作為設計或修改其他製程及結構的基礎來施行與本文中所介紹的實施例相同的目的及/或達成與本文中所介紹的實施例相同的優點。熟習此項技術者亦應認識到,該些等效構造並不背離本揭露的精神及範圍,而且他們可在不背離本揭露的精神及範圍的條件下在本文中作出各種改變、代替及變更。
200:製程流程
202、204、206、208、210、212、214、216、218、220、222:製程

Claims (10)

  1. 一種封裝體的製造方法,包括:在第一晶片的前側上形成多個積體電路;在所述第一晶片上執行背側研磨,以顯露出所述第一晶片中的多個穿孔;使用鑲嵌製程在所述第一晶片的背側上形成第一橋接結構,其中所述第一橋接結構包括第一接合接墊、第二接合接墊及將所述第一接合接墊電性連接至所述第二接合接墊的導電跡線;以及藉由面對背接合將第二晶片及第三晶片接合至所述第一晶片,其中所述第二晶片的第三接合接墊接合至所述第一晶片的所述第一接合接墊,且所述第三晶片的第四接合接墊接合至所述第一晶片的所述第二接合接墊。
  2. 如請求項1所述的製造方法,其中所述形成所述第一橋接結構包括雙鑲嵌製程。
  3. 如請求項2所述的製造方法,其中由所述雙鑲嵌製程形成的雙鑲嵌結構包括通孔及位於所述通孔之上並與所述通孔連接的所述導電跡線,且其中所述通孔與所述多個穿孔中的一個穿孔實體接觸。
  4. 如請求項1所述的製造方法,其中所述形成所述第一橋接結構包括單鑲嵌製程。
  5. 如請求項1所述的製造方法,更包括:在所述第一晶片的所述背側上形成與所述多個穿孔中的第一穿孔及第二穿孔接觸的第一金屬接墊及第二金屬接墊,其中所述 第一金屬接墊及所述第二金屬接墊分別電性連接至所述第一晶片及所述第二晶片。
  6. 如請求項1所述的製造方法,更包括:在所述第二晶片及所述第三晶片的背側上形成附加橋接結構;在所述第二晶片之上接合第四晶片;以及在所述第三晶片之上接合第五晶片,其中所述第四晶片藉由所述附加橋接結構電性連接至所述第五晶片。
  7. 一種封裝體,包括:第一晶片,包括:半導體基底;積體電路,位於所述半導體基底的前側處;多個穿孔,穿透所述半導體基底;以及橋接結構,位於所述半導體基底的背側上且不與所述半導體基底直接接觸,其中所述橋接結構包括:第一接合接墊;第二接合接墊;以及導電跡線,將所述第一接合接墊電性耦合至所述第二接合接墊;第二晶片,藉由面對背接合而接合至所述第一晶片,所述第二晶片包括實體接觸所述第一接合接墊的第三接合接墊;以及第三晶片,藉由面對背接合而接合至所述第一晶片,所述第三晶片包括實體接觸所述第二接合接墊的第四接合接墊。
  8. 如請求項7所述的封裝體,其中所述橋接結構更包 括與所述多個穿孔中的第一穿孔實體接觸的第一通孔。
  9. 一種封裝體,包括:第一晶片,包括:半導體基底;第一內連線結構,位於所述半導體基底的前側上,其中所述第一內連線結構包括多個第一鑲嵌結構;橋接結構,位於所述半導體基底的背側上,其中所述橋接結構包括多個第二鑲嵌結構;以及穿孔,穿過所述半導體基底,其中所述穿孔內連所述第一內連線結構及所述橋接結構;以及第二晶片及第三晶片,具有接合至所述第一晶片的前側,其中所述第二晶片及所述第三晶片接合至所述橋接結構並與所述橋接結構實體接觸。
  10. 如請求項9所述的封裝體,其中所述第一晶片包括位於所述半導體基底的所述背側上的雙鑲嵌結構,且其中所述雙鑲嵌結構包括通孔,並且所述通孔與所述穿孔實體接觸。
TW110107943A 2020-05-21 2021-03-05 封裝體及其製造方法 TWI796643B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202063028117P 2020-05-21 2020-05-21
US63/028,117 2020-05-21
US17/077,618 US11462495B2 (en) 2020-05-21 2020-10-22 Chiplets 3D SoIC system integration and fabrication methods
US17/077,618 2020-10-22

Publications (2)

Publication Number Publication Date
TW202209517A TW202209517A (zh) 2022-03-01
TWI796643B true TWI796643B (zh) 2023-03-21

Family

ID=77525581

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110107943A TWI796643B (zh) 2020-05-21 2021-03-05 封裝體及其製造方法

Country Status (5)

Country Link
US (2) US11855020B2 (zh)
KR (1) KR102545434B1 (zh)
CN (1) CN113363162B (zh)
DE (1) DE102020128855A1 (zh)
TW (1) TWI796643B (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20220040537A (ko) * 2020-09-23 2022-03-31 삼성전자주식회사 반도체 패키지

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140106508A1 (en) * 2010-03-18 2014-04-17 Marvell World Trade Ltd. Structures embedded within core material and methods of manufacturing thereof
US20190326198A1 (en) * 2017-06-30 2019-10-24 Intel Corporation Embedded bridge with through-silicon vias
US20200152608A1 (en) * 2017-11-15 2020-05-14 Taiwan Semiconductor Manufacturing Company, Ltd. Integrating Passive Devices in Package Structures

Family Cites Families (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7335965B2 (en) * 1999-08-25 2008-02-26 Micron Technology, Inc. Packaging of electronic chips with air-bridge structures
US6621137B1 (en) * 2000-10-12 2003-09-16 Intel Corporation MEMS device integrated chip package, and method of making same
CN101291109A (zh) * 2007-04-17 2008-10-22 万国半导体股份有限公司 可降低半导体功率转换电路震荡的电路结构及方法
EP2649447A4 (en) 2010-12-09 2018-06-06 Akonni Biosystems Sample analysis system
US8563403B1 (en) * 2012-06-27 2013-10-22 International Business Machines Corporation Three dimensional integrated circuit integration using alignment via/dielectric bonding first and through via formation last
JP2016028417A (ja) * 2014-07-11 2016-02-25 ローム株式会社 電子装置
US9613994B2 (en) * 2014-07-16 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Capacitance device in a stacked scheme and methods of forming the same
US9620488B2 (en) * 2015-08-19 2017-04-11 Taiwan Semiconductor Manufacturing Co., Ltd. Three-dimensional integrated circuit structure and bonded structure
US10950550B2 (en) * 2015-12-22 2021-03-16 Intel Corporation Semiconductor package with through bridge die connections
US9768133B1 (en) * 2016-09-22 2017-09-19 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and method of forming the same
US10522449B2 (en) * 2017-04-10 2019-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. Packages with Si-substrate-free interposer and method forming same
US10535636B2 (en) * 2017-11-15 2020-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Integrating passive devices in package structures
US10685935B2 (en) * 2017-11-15 2020-06-16 Taiwan Semiconductor Manufacturing Company, Ltd. Forming metal bonds with recesses
US10872862B2 (en) * 2018-03-29 2020-12-22 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure having bridge structure for connection between semiconductor dies and method of fabricating the same
US10535608B1 (en) * 2018-07-24 2020-01-14 International Business Machines Corporation Multi-chip package structure having chip interconnection bridge which provides power connections between chip and package substrate
US10756058B2 (en) * 2018-08-29 2020-08-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor package and manufacturing method thereof
US11171076B2 (en) * 2018-10-10 2021-11-09 Taiwan Semiconductor Manufacturing Company, Ltd. Compute-in-memory packages and methods forming the same
KR102596758B1 (ko) 2018-10-24 2023-11-03 삼성전자주식회사 반도체 패키지
US11289424B2 (en) * 2018-11-29 2022-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Package and method of manufacturing the same
US10867929B2 (en) * 2018-12-05 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structures and methods of forming the same
US11417628B2 (en) * 2018-12-26 2022-08-16 Ap Memory Technology Corporation Method for manufacturing semiconductor structure
US11672111B2 (en) * 2018-12-26 2023-06-06 Ap Memory Technology Corporation Semiconductor structure and method for manufacturing a plurality thereof
US11133256B2 (en) * 2019-06-20 2021-09-28 Intel Corporation Embedded bridge substrate having an integral device
US11978685B2 (en) * 2019-07-25 2024-05-07 Intel Corporation Glass core patch with in situ fabricated fan-out layer to enable die tiling applications
US11637056B2 (en) * 2019-09-20 2023-04-25 iCometrue Company Ltd. 3D chip package based on through-silicon-via interconnection elevator
US20210098419A1 (en) * 2019-09-27 2021-04-01 Advanced Micro Devices, Inc. Fabricating active-bridge-coupled gpu chiplets
US11164817B2 (en) * 2019-11-01 2021-11-02 International Business Machines Corporation Multi-chip package structures with discrete redistribution layers
US11239203B2 (en) * 2019-11-01 2022-02-01 Xilinx, Inc. Multi-chip stacked devices
US11114410B2 (en) * 2019-11-27 2021-09-07 International Business Machines Corporation Multi-chip package structures formed by joining chips to pre-positioned chip interconnect bridge devices
US11626340B2 (en) * 2019-12-12 2023-04-11 Qorvo Us, Inc. Integrated circuit (IC) package with embedded heat spreader in a redistribution layer (RDL)
US11600526B2 (en) * 2020-01-22 2023-03-07 iCometrue Company Ltd. Chip package based on through-silicon-via connector and silicon interconnection bridge
US20210305133A1 (en) * 2020-03-24 2021-09-30 Intel Coporation Open cavity bridge power delivery architectures and processes

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140106508A1 (en) * 2010-03-18 2014-04-17 Marvell World Trade Ltd. Structures embedded within core material and methods of manufacturing thereof
US20190326198A1 (en) * 2017-06-30 2019-10-24 Intel Corporation Embedded bridge with through-silicon vias
US20200152608A1 (en) * 2017-11-15 2020-05-14 Taiwan Semiconductor Manufacturing Company, Ltd. Integrating Passive Devices in Package Structures

Also Published As

Publication number Publication date
TW202209517A (zh) 2022-03-01
KR20210145068A (ko) 2021-12-01
KR102545434B1 (ko) 2023-06-20
DE102020128855A1 (de) 2021-11-25
CN113363162B (zh) 2023-11-10
US11855020B2 (en) 2023-12-26
CN113363162A (zh) 2021-09-07
US20240088077A1 (en) 2024-03-14
US20220384374A1 (en) 2022-12-01

Similar Documents

Publication Publication Date Title
CN113540059B (zh) 封装的半导体器件及其形成方法
CN110634847B (zh) 半导体器件和方法
US11532585B2 (en) Package containing device dies and interconnect die and redistribution lines
TWI769440B (zh) 封裝結構及形成封裝結構的方法
TWI725381B (zh) 用於封裝件之整合緩衝設計
TWI724701B (zh) 封裝及其形成方法
TW201923992A (zh) 封裝體及其製造方法
TW201946233A (zh) 封裝及其形成方法
TW202004926A (zh) 半導體結構及積體電路封裝的形成方法
KR20200002557A (ko) 반도체 디바이스 패키지 및 방법
US11462495B2 (en) Chiplets 3D SoIC system integration and fabrication methods
TW202114111A (zh) 封裝
US20240088077A1 (en) Chiplets 3d soic system integration and fabrication methods
TWI795700B (zh) 積體電路封裝及其形成方法
TW202243150A (zh) 半導體封裝及製造半導體封裝的方法
TW202234536A (zh) 半導體封裝及封裝組件及製造方法
CN112436001B (zh) 封装件及其形成方法
CN114927509A (zh) 封装件及其形成方法
TW202137354A (zh) 半導體裝置及其形成方法
US20230395517A1 (en) 3D Stacking Architecture Through TSV and Methods Forming Same
TWI799107B (zh) 封裝結構、封裝結構的製造方法以及半導體結構
TW202407904A (zh) 積體電路封裝及其形成方法
TW202335114A (zh) 接合主動晶粒及虛設晶粒的方法
TW202412229A (zh) 封裝件及其形成方法
CN117276191A (zh) 封装件及其形成方法