TWI795358B - 以基團來清潔電漿處理裝置之一腔室的方法及電漿處理裝置 - Google Patents

以基團來清潔電漿處理裝置之一腔室的方法及電漿處理裝置 Download PDF

Info

Publication number
TWI795358B
TWI795358B TW106115328A TW106115328A TWI795358B TW I795358 B TWI795358 B TW I795358B TW 106115328 A TW106115328 A TW 106115328A TW 106115328 A TW106115328 A TW 106115328A TW I795358 B TWI795358 B TW I795358B
Authority
TW
Taiwan
Prior art keywords
chamber
cleaning
radicals
plasma
remote plasma
Prior art date
Application number
TW106115328A
Other languages
English (en)
Other versions
TW201805079A (zh
Inventor
凱瑟林 克魯克
馬克 卡魯瑟斯
安德魯 普萊斯
Original Assignee
英商Spts科技公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 英商Spts科技公司 filed Critical 英商Spts科技公司
Publication of TW201805079A publication Critical patent/TW201805079A/zh
Application granted granted Critical
Publication of TWI795358B publication Critical patent/TWI795358B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • H01J37/32963End-point detection
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0035Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/335Cleaning

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Public Health (AREA)
  • Epidemiology (AREA)
  • Optics & Photonics (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Physical Vapour Deposition (AREA)

Abstract

根據本發明,提供一種藉由基團來清潔電漿處理裝置之腔室的方法,該方法包含下列步驟:在與該腔室分離之遠程電漿源內產生電漿,該電漿包含基團及離子;藉由允許基團自該遠程電漿源進入該腔室來清潔該腔室,同時防止該遠程電漿源中產生的該等離子中之大部分進入該腔室;檢測在清潔期間於該腔室之組件上產生之直流偏壓;以及使用該所檢測之直流偏壓來判定該清潔之終點,且在判定該終點時停止該清潔。

Description

以基團來清潔電漿處理裝置之一腔室的方法及電漿處理裝置
發明領域
本發明係關於一種清潔電漿處理裝置之方法,該電漿處理裝置為具有用於產生被用來進行清潔之基團的遠程電漿源之類型。本發明亦係關於相關聯的電漿處理裝置。
發明背景
在半導體產品之製造中,需要介電材料之PECVD(電漿加強化學氣相沉積)沉積以用於隔離及鈍化目的。此等製程可用於範圍自前端裝置至後端貫穿矽通孔(TSV)、通孔露出及通孔插入件製造的應用。在晶圓處理操作之間需要PECVD製程腔室之常規清潔,以防止污染物顆粒之產生及積聚。此等污染物顆粒影響沉積介電膜之效能及可靠性,從而導致低晶圓產率及過早的裝置失效。兩種技術通常用於清潔。此等技術為直接電漿清潔及遠程電漿源(RPS)清潔。
直接電漿清潔涉及電漿在製程腔室自身中產生以用於清潔目的。諸如二氧化矽及氮化矽之基團於矽 的介電膜之直接電漿清潔通常涉及對光學終點信號之檢測以判定何時停止清潔製程。這藉助檢測適當的排放藉由監測腔室中之電漿強度來達成,該等排放諸如自電離氟之排放。US 7354778揭示其中電漿產生器之直流偏壓電壓受監測之直接電漿清潔製程中的終點檢測。因為電漿產生器為直接電漿清潔配置之部分,所以使用在腔室自身中產生之電離製程氣體來進行清潔。因此,將被預期在腔室中引起直流偏壓電壓的帶電粒子濃度相對高。
腔室RPS淨化系統不在PEVCD腔室內側產生電漿,且所以不可能檢測腔室中之光學終點信號,因為在腔室中不存在電漿來產生光學輸出。另外,在PECVD製程腔室內不存在直接帶電粒子源,這將被預測來顯著地降低可用來產生US 7354778中所檢測之類型的直流偏壓信號的帶電粒子之濃度。
相比而言,與許多RPS清潔方法論相關聯的優點在於,主要使用基團物種來進行清潔。遠程電漿源自其中發生清潔之PEVCD腔室之解耦導致藉由清潔電漿產生的帶電物種中之大部分被阻止進入PEVCD腔室。隨後引起的在PEVCD腔室中的離子轟擊之減小具有導致對腔室組件造成更小磨損之優點。這具有降低腔室干預頻率以達到維護目的之相因而生的優點。
US 6079426、US 6543459及US2006/0090773描述對用於RPS裝置之清潔終點之檢測。US 6079426及US2006/0090773揭示藉由監測腔室壓 力對清潔終點之檢測。US 6543459揭示一種判定用於其中監測電容變化之遠程微波電漿清潔系統的清潔終點之方法。另一終點檢測技術使用對清潔終點之紅外檢測。在製程腔室下游的真空排氣線中進行量測。此技術已被商業化為位於Methuen,MA 01844,USA之MKS Instruments Inc.開發的Process Sense(RTM)終點感測器。
發明概要
本發明在其實施例中之至少一些者中提供一種檢測RPS清潔裝置中之清潔終點的改良方法,其中無需使用諸如光學或紅外檢測器之專業的額外檢測器。儘管本發明特別適合於PECVD裝置之清潔,但本發明亦可結合其他處理裝置之清潔來使用。
根據本發明之第一態樣,提供一種藉由基團來清潔電漿處理裝置之腔室的方法,該方法包含下列步驟:在與腔室分離之遠程電漿源內產生電漿,該電漿包含基團及離子;藉由允許基團自遠程電漿源進入腔室來清潔腔室,同時防止遠程電漿源中產生的離子中之大部分進入腔室;檢測在清潔期間於腔室之組件上產生(develop)之直流偏壓;以及使用所檢測之直流偏壓來判定清潔之終點,且在判定終點時停止清潔。
為避免引起懷疑,術語『基團(radical)』意 欲指代具有一或多個不成對電子之不帶電反應性物種。該等基團可為原子的或分子的。
非常令人驚奇的是,已發現可量測及有用的直流偏壓信號可在製程腔室之組件上產生,即使帶電粒子不在清潔製程期間於製程腔室中產生亦如此。離子及電子在遠程電漿源中於清潔期間產生。然而,此等物種為短壽命的,且其中之大部分不到達製程腔室。實際上,人們預期,遠程電漿源中產生之電子及離子之實質上全部(95%或更多)不到達製程腔室。因此,非常令人驚奇的是,可量測及有用的直流偏壓信號可在製程腔室之組件上產生。直流偏壓信號容易量測,且無需使用諸如光學或紅外檢測器之專業額外的檢測器。額外益處在於,檢測直接發生在其中發生清潔的製程腔室中。
該組件可為氣體進氣系統之組件。該組件可充當用於遠程電漿源中所產生的基團之導管。在一個實施例中,該組件為蓮蓬頭。如熟悉此項技術之讀者所熟知的,蓮蓬頭為具有多個孔眼或其他孔隙之氣體供應元件。孔眼或其他孔隙允許氣體均勻地引入製程腔室12中。通常,蓮蓬頭包含具有氣體進氣之第一板及攜載孔眼或其他孔隙之第二板。第一板與第二板間隔開以界定腔體。
可替代地,組件可為基團板支撐件。該基板支撐件可為平臺。仍可替代地,該組件可為腔室壁部之電隔離部分或泵送廊道。泵送廊道可為用於排出氣體之導管,該導管由腔室之一或多個壁部界定。
通常,該組件由諸如金屬之電導材料形成。該金屬可為鋁。
該電漿處理裝置可為PECVD裝置。
該終點可藉由檢測出直流偏壓已獲得穩態來判定。
該清潔可利用F基團進行。遠程電漿源內產生之電漿可將NF3作為前驅物使用。
可在一或多個介電膜已在腔室中沉積之後清潔腔室。該等介電膜可為含矽介電材料之膜。含矽介電材料可為二氧化矽或氮化矽。
可在半導體基板之電漿處理之後進行清潔。該半導體基板可為矽基板。該半導體基板可為諸如矽晶圓之半導體晶圓。
可在隔離或鈍化電漿處理步驟之後進行清潔。
可在TSV、通孔露出或通孔插入件製造電漿處理步驟之後進行清潔。
根據本發明之第二態樣,提供一種電漿處理裝置,包含;腔室;遠程電漿源,其經組配來在使用中產生包含基團及離子之電漿;連接器,其將遠程電漿源與腔室分離,且允許基團進入腔室,同時防止遠程電漿源中產生之離子中之大部分進 入腔室,以便腔室可藉由基團經受清潔;檢測器,其用於檢測在清潔期間於腔室之組件上產生之直流偏壓;以及控制器,其經組配來使用所檢測之直流偏壓來判定清潔之終點,且在判定終點時停止清潔。
該連接器可為電絕緣的。該連接器可由諸如陶瓷之介電材料形成。
該連接器可包含多個氣體導送鏜孔。該等氣體導送鏜孔各自所具有之直徑可小於10mm,較佳小於5mm。以此方式,遠程電漿源中產生之電漿實質上被防止到達腔室。
該連接器可包含具有穿過其中形成之多個氣體導送鏜孔的插塞。
該裝置可為PECVD裝置。
該遠程電漿源可為感應耦合電漿(ICP)源或任何其他適合電漿源。
雖然本發明描述於上文,但其擴展至上文或者以下描述、圖式或申請專利範圍中闡明之特徵的任何發明組合。例如,相對於本發明之第一態樣描述之任何特徵亦與本發明之第二態樣結合進行揭示,且反之亦然。
10:PECVD裝置/裝置
12:腔室
14:遠程電漿源
16、22:隔離體
18:氣體供應系統
20:蓮蓬頭
24:平臺
26:前級管道
28:FTIR監測系統
30:射頻發生器
32、34:點
36:遠程電漿源氣體供應系統
38:射頻電源
100、150:直流偏壓信號
102、152:FTIR信號
根據本發明之方法及裝置的實施例現將參考隨附圖式進行描述,其中:圖1展示本發明之電漿處理裝置; 圖2展示在以125℃沉積0.6微米TEOS膜之後的清潔期間之直流偏壓及FTIR信號(利用鋁蓮蓬頭);圖3展示在以125℃沉積0.2微米SiN膜之後的清潔期間之直流偏壓及FTIR信號(利用鋁蓮蓬頭);圖4展示在以125℃沉積1.05微米SiN/0.6微米TEOS堆疊體之後的清潔期間之直流偏壓及FTIR信號(利用陽極化鋁蓮蓬頭);且圖5展示陽極化鋁蓮蓬頭上之與用於10晶圓可重複性運行之腔室清潔時間有關的(a)直流偏壓及(b)FTIR信號。
圖1展示本發明之通常描繪為10之PECVD裝置。PECVD裝置10具有包含製程腔室12及遠程電漿源14之類型,遠程電漿源14遠離製程腔室12定位。遠程電漿源14藉由具有氣體饋通部之隔離體16連接至製程腔室12。提供氣體進氣系統,其包含適合的氣體供應系統18,以用於將一或多種製程氣體供應至製程腔室12。氣體進氣系統進一步包含構成製程腔室12之頂部部分的蓮蓬頭20。如熟悉此項技術之讀者所熟知的,蓮蓬頭為氣體供應元件,其具有允許氣體均勻引入製程腔室12之主體部分中的多個孔眼或其他孔隙。自氣體供應系統進入蓮蓬頭20之氣體在穿過孔眼或其他孔隙進入製程腔室12之主體之前在蓮蓬頭20之內部體積中形成儲氣層(gas reservoir)。蓮蓬頭由諸如鋁或另一適合金屬之電導材料形成。在電漿處理期間,射頻電信號藉由射頻發生器30施加於蓮蓬頭20。 蓮蓬頭20藉由陶瓷隔離體22與製程腔室12之餘部電隔離。平臺24定位於製程腔室12內。將要經處理的晶圓或其他工件安裝在平臺24上。裝置10進一步包含與適合的泵(未展示)相連之排氣前級管道26。如圖1所示,FTIR監測系統28經提供用於監測穿過前級管道26之清潔副產品。FTIR監測系統28已被包括於圖1所示裝置10中,以便提供比較資料來評定本發明之效能。FTIR監測系統28不形成本發明構思之部分,且非本發明之基團本要素。製程腔室12在點32處接地,且平臺24在點34處接地。裝置10進一步包含:適合的檢測器(未展示),用於量測在清潔期間於蓮蓬頭20上產生之直流偏壓;以及控制器(未展示),用於基團於所量測之直流偏壓判定清潔操作之終點。在判定終點時,控制器結束清潔操作。控制器可為電腦或另一基團於微處理器的控制裝置,如此項技術中所熟知的。通常,控制器亦控制PECVD裝置之其他操作。
遠程電漿源14包含適合的電漿產生裝置,以引發及維持由遠程電漿源氣體供應系統36供應的氣體氣氛中之電漿。遠程電漿源可為由射頻電源38供電之感應耦合電漿(ICP)源。可以替代地設想到電漿產生器之其他形式。遠程電漿源14中產生之電漿包含著包括離子、電子及中性基團物種之高能激發粒子的混合物。在本發明中,製程腔室12之清潔主要藉由經陶瓷隔離體16引入製程腔室12中之基團來進行。陶瓷隔離體16將遠程電漿源14與製程腔室12上之蓮蓬頭20電隔離。隔離體16包含諸如藉由鑽孔 形成於陶瓷中之一系列直徑相對小的孔。在一個實施例中,約3mm直徑之一系列孔形成於隔離體中,以防止在遠程電漿源14中產生之電漿到達蓮蓬頭20之背面。藉由產生於遠程電漿源14中之電漿而產生的基團穿過隔離體16中之該系列孔,並經由蓮蓬頭22進入製程腔室12。此等基團係用來清潔製程腔室12之表面。例如,在發生於製程腔室12中之處理期間所沉積的介電膜藉由基團清潔自腔室表面移除。因為製程腔室12自遠程電漿源14中之清潔電漿實質上被解耦,所以存在離子轟擊之實質上的減小,從而導致對腔室組件之更小磨損,且因此降低腔室干預頻率以達到維護目的。
已注意到,直流偏壓在清潔製程期間於腔室12之某些組件上產生。已觀察到直流偏壓在腔室中之介電膜經清潔時改變。已認識到,直流偏壓可表示清潔製程何時完成。特別而言,直流偏壓之平穩狀態(plateau)或其他穩態條件可表現出清潔製程完成。非常令人驚奇的是,腔室中之直流偏壓為可觀察到的且其可有用於判定清潔終點。在不希望受任何特定理論或推測約束的情況下,咸信,在腔室中之組件上觀察到的直流偏壓係歸咎於到達組件且在該組件上誘發小的正或負電荷之離子及電子之存在。這令人驚奇,因考慮到在遠程電漿源14中誘發之電漿所產生的帶電離子及電子之相對短的壽命,以及遠程電漿源14自製程腔室12解耦之事實。特別便於監測在清潔期間於蓮蓬頭20上誘發之直流偏壓。應瞭解,在裝置10之清潔操作前 用以設計的一般操作期間,蓮蓬頭為RF活躍的(RF live)。射頻在清潔開始之前移除,且在清潔製程期間,蓮蓬頭20進行電浮動。來自腔室之各種部分的膜厚度量測展示出,蓮蓬頭具有待移除之最厚的介電膜沉積物。這歸咎於蓮蓬頭在電漿處理期間為RF活躍的。已注意到,一旦蓮蓬頭為清潔的,則腔室之剩餘部分亦為清潔的。因此,對蓮蓬頭上之直流偏壓之量測為判定清潔操作之真實終點的特別有效方式。
在某一範圍內的介電膜沉積之後,進行清潔圖1之PECVD裝置的實驗。藉由將NF3引入遠程電漿源14中以及產生離子化並分離NF3分子之感應耦合電漿來進行清潔。此舉導致氟自由基團之產生,該等氟自由基團中一些者與陰離子、陽離子及電子一同處於激發態。氟基團係用來清潔製程腔室12。
如圖1所示之PECVD裝置係用來將某一範圍內的介電膜沉積在矽晶圓上。在所要類型的介電膜沉積之後,進行製程腔室之清潔。藉由檢測在蓮蓬頭上誘發的直流偏壓及自前級管道中之清潔副產品獲得的FTIR信號來監測清潔製程。某一範圍內的膜得以沉積,其包括氧化矽、氮化矽及包含氮化矽層與氧化矽層之膜堆疊體。使用不同方法論--亦即將四乙基團正矽酸鹽(TEOS)及矽烷作為前驅物使用之已知技術來沉積氧化矽膜。使用自125℃至350℃之沉積溫度產生具有範圍自100nm至3.2微米之厚度的膜。使用鋁及陽極化鋁蓮蓬頭。所得結果經概 括在表1中。圖2、3及4展示在若干膜之沉積之後的清潔期間所誘發的直流偏壓信號100及所獲得的FTIR信號102。更特定而言,圖2係關於在使用TEQS方法以125℃沉積0.6微米二氧化矽膜之後藉由鋁蓮蓬頭之清潔。直流偏壓信號100及FTIR信號102兩者之約120秒的平穩狀態表示腔室為清潔的。圖3係關於在以125℃沉積0.2微米氮化矽膜之後藉由鋁蓮蓬頭之清潔。直流偏壓信號100及FTIR信號102兩者之約80秒的平穩狀態表示製程腔室為清潔的。所獲得之穩態直流偏壓與使用TEOS方法(圖2)沉積之二氧化矽膜相比為更負的。圖4係關於在以125℃沉積介電膜堆疊體之後使用陽極化鋁蓮蓬頭之清潔。該堆疊體包含使用TEOS方法沉積之1.05微米厚的氮化矽膜層及0.6微米厚的二氧化矽層。直流偏壓信號100及FTIR信號102兩者之約110秒的平穩狀態表示製程腔室為清潔的。
Figure 106115328-A0305-02-0013-1
實際上,終點檢測之再現性很重要,以便維持穩定操作之型。實驗藉由介電堆疊體沉積之後的清潔與清潔之間的兩個晶圓在10晶圓可重複性運行上進行。介電堆疊體包含以125℃沉積之1.05微米厚的氮化矽層及0.6微米厚的二氧化矽層。二氧化矽層利用TEOS方法沉積。圖5(a)展示在清潔期間獲得的直流偏壓信號150。圖5(b) 展示在清潔期間獲得的FTIR信號152。可見,存在高度可重複性。特別而言,直流偏壓及FTIR信號兩者可再現地達到約240秒的平穩狀態。這表示,在使用產生在遠程電漿源中之基團進行清潔期間監測製程腔室組件上之直流偏壓為檢測清潔終點之可靠方式。
概括在表1中及展示在圖2-5中之結果表示,在蓮蓬頭上經誘發的直流偏壓與前級管道FTIR信號之間存在關聯。實際上,FTIR信號稍微滯後於直流偏壓信號。這被認為歸咎於不同的取樣方法。直流偏壓取樣『實時』地在其中發生清潔的腔室中進行。相比而言,FTIR監測以製程腔室外側的一段距離在前級管道中進行。有可能製程資訊可推斷自在終點前獲得的相對具響應性的直流偏壓信號。
觀察到,所獲得之至清潔終點之時間與膜厚度成正比。這並非意料以外,因為其他因素被認為在判定至終點之時間中起作用。相關因素包括膜類型及用來沉積膜之製程。不同製程及膜類型在腔室中不同地沉積,從而導致待移除之非均勻塗層。例如,一種氮化矽製程與另一氮化矽沉積製程相比,可在蓮蓬頭邊緣處沉積較厚的膜。這將導致至終點之不同的時間。清潔製程開發已顯示出,蓮蓬頭邊緣處的沉積材料之蝕刻率與中心相比稍微較低。因此,蓮蓬頭邊緣處的較厚的膜將花費比蓮蓬頭中心處的較厚的膜更長的時間來清潔。與不同膜相關聯的直流偏壓信號就絕對值及總體形狀而言彼此不相同。這可歸因於不 同膜類型具有不同介電性質。咸信,這改變整體腔室阻抗,從而導致所誘發的直流偏壓之小的差值。除此之外,咸信,不同製程在腔室之不同區域中沉積介電膜,從而導致整體腔室阻抗之小的變化。咸信,腔室阻抗之微小變化為在蓮蓬頭上經誘發的直流偏壓之小的差值負責。
儘管蓮蓬頭為用於監測製程腔室中誘發的直流偏壓之特別便利組件,但製程腔室之其上可誘發直流偏壓的其他組件可被監測。例如,可在氣體進氣系統、腔室壁部之電隔離部分、平臺或腔室之泵送廊道上之其他組件上監測直流偏壓。
10:PECVD裝置/裝置
12:腔室
14:遠程電漿源
16、22:隔離體
18:氣體供應系統
20:蓮蓬頭
24:平臺
26:前級管道
28:FTIR監測系統
30:射頻發生器
32、34:點
36:遠程電漿源氣體供應系統
38:射頻電源

Claims (16)

  1. 一種以基團來清潔一電漿處理裝置之一腔室的方法,該方法包含下列步驟:在自該腔室分離之一遠程電漿源內產生一電漿,該電漿包含基團及離子;藉由允許基團自該遠程電漿源進入該腔室,同時防止大部分在該遠程電漿源中所產生的該等離子進入該腔室,來清潔該腔室;檢測在清潔期間於該腔室之一組件上產生之一直流偏壓;以及使用該所檢測之直流偏壓來判定該清潔之一終點,且在判定該終點時停止該清潔。
  2. 如請求項1之方法,其中該組件為一氣體進氣系統之一組件。
  3. 如請求項2之方法,其中該組件作用為用於該遠程電漿源中所產生的基團之一導管。
  4. 如請求項3之方法,其中該組件為一蓮蓬頭。
  5. 如請求項1之方法,其中該組件為一基板支撐件、該腔室之一壁部的一電隔離部分或一泵送廊道。
  6. 如請求項1至5中任一項之方法,其中該電漿處理裝置為一PECVD裝置。
  7. 如請求項1至5中任一項之方法,其中該終點係藉由檢測出該直流偏壓已獲得一穩態來判定。
  8. 如請求項1至5中任一項之方法,其中該清潔利用F基團進行。
  9. 如請求項8之方法,其中在該遠程電漿源內所產生之該電漿使用NF3作為一前驅物。
  10. 如請求項1至5中任一項之方法,其中在一或多個介電膜已在該腔室中沉積之後清潔該腔室。
  11. 如請求項10之方法,其中該等介電膜為一含矽介電材料之膜。
  12. 如請求項11之方法,其中該含矽介電材料為二氧化矽或氮化矽。
  13. 一種電漿處理裝置,包含:一腔室;一遠程電漿源,其經組配來在使用中產生一包含基團及離子之電漿;一連接器,其將該遠程電漿源自該腔室分離,且允許基團進入該腔室,同時防止大部分在該遠程電漿源中所產生之該等離子進入該腔室,以便該腔室可藉由基團經受清潔;一檢測器,其用於檢測在清潔期間於該腔室之一組件上產生之一直流偏壓;以及一控制器,其經組配來使用該所檢測之直流偏壓來判定該清潔之一終點,且在判定該終點時停止該清潔。
  14. 如請求項13之裝置,其中該連接器為電絕緣的。
  15. 如請求項13或請求項14之裝置,其中該連接器包含多個氣體導送鏜孔。
  16. 如請求項13或請求項14之裝置,其為一PECVD裝置。
TW106115328A 2016-05-24 2017-05-09 以基團來清潔電漿處理裝置之一腔室的方法及電漿處理裝置 TWI795358B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
??GB1609119.1 2016-05-24
GBGB1609119.1A GB201609119D0 (en) 2016-05-24 2016-05-24 A method of cleaning a plasma processing module
GBGB1609119.1 2016-05-24

Publications (2)

Publication Number Publication Date
TW201805079A TW201805079A (zh) 2018-02-16
TWI795358B true TWI795358B (zh) 2023-03-11

Family

ID=56369881

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106115328A TWI795358B (zh) 2016-05-24 2017-05-09 以基團來清潔電漿處理裝置之一腔室的方法及電漿處理裝置

Country Status (7)

Country Link
US (1) US10309014B2 (zh)
EP (1) EP3249073A1 (zh)
JP (1) JP7011403B2 (zh)
KR (1) KR102185805B1 (zh)
CN (1) CN107424898B (zh)
GB (1) GB201609119D0 (zh)
TW (1) TWI795358B (zh)

Families Citing this family (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
CN108300978B (zh) * 2017-01-12 2020-10-09 和舰科技(苏州)有限公司 一种侦测气相沉积机台清理结束时间点的装置和方法
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) * 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US20200266037A1 (en) * 2019-02-14 2020-08-20 Advanced Energy Industries, Inc. Maintenance for remote plasma sources
US11264219B2 (en) * 2019-04-17 2022-03-01 Samsung Electronics Co., Ltd. Radical monitoring apparatus and plasma apparatus including the monitoring apparatus
TWI748741B (zh) * 2020-11-11 2021-12-01 暉盛科技股份有限公司 電漿晶圓清潔機及使用其清潔晶圓的方法
KR20220093499A (ko) * 2020-12-28 2022-07-05 에스케이스페셜티 주식회사 F3no 가스를 이용한 반도체 및 디스플레이 화학기상 증착 챔버의 건식 세정 방법
WO2024107561A1 (en) * 2022-11-16 2024-05-23 Mks Instruments, Inc. Radical sensing for process tool diagnostics

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6079426A (en) * 1997-07-02 2000-06-27 Applied Materials, Inc. Method and apparatus for determining the endpoint in a plasma cleaning process
JP2002151417A (ja) * 2000-11-08 2002-05-24 Hitachi Ltd プラズマcvd装置
US6543459B1 (en) * 2000-04-07 2003-04-08 Koninklijke Philips Electronics N.V. Method of determining an end point for a remote microwave plasma cleaning system
US20040087170A1 (en) * 2002-09-05 2004-05-06 Percy Heger Method for determining the end point for a cleaning etching process
US20040253828A1 (en) * 2003-06-16 2004-12-16 Takeshi Ozawa Fabrication method of semiconductor integrated circuit device
US20060090773A1 (en) * 2004-11-04 2006-05-04 Applied Materials, Inc. Sulfur hexafluoride remote plasma source clean
US20090035945A1 (en) * 2007-07-31 2009-02-05 Renesas Technology Corp. Manufacturing method of semiconductor integrated circuit device
TWI355038B (en) * 2006-10-04 2011-12-21 Macronix Int Co Ltd Method of monitoring plasma process tool, protecti
US20140053867A1 (en) * 2009-01-16 2014-02-27 Novellus Systems, Inc. Plasma clean method for deposition chamber

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08193271A (ja) * 1995-01-13 1996-07-30 Aneruba Kk その場クリーニング処理後の予備的処理完了点検出装置および完了点検出法
JPH1022280A (ja) * 1996-07-08 1998-01-23 Hitachi Ltd プラズマcvd装置およびそのクリーニング方法
JP3296292B2 (ja) * 1998-06-26 2002-06-24 松下電器産業株式会社 エッチング方法、クリーニング方法、及びプラズマ処理装置
JP2002151475A (ja) * 2000-11-14 2002-05-24 Toshiba Corp 薄膜処理モニタリング方法と薄膜処理装置
KR100710923B1 (ko) * 2004-06-02 2007-04-23 동경 엘렉트론 주식회사 플라즈마 처리장치 및 임피던스 조정방법
KR20100069392A (ko) * 2008-12-16 2010-06-24 삼성전자주식회사 증착, 식각 혹은 클리닝 공정에서 증착, 식각 혹은 클리닝 종료 시점을 결정하기 위하여 수정 결정 미소저울을 이용하는 반도체 소자의 제조장치 및 이를 이용한 제조방법

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6079426A (en) * 1997-07-02 2000-06-27 Applied Materials, Inc. Method and apparatus for determining the endpoint in a plasma cleaning process
US6543459B1 (en) * 2000-04-07 2003-04-08 Koninklijke Philips Electronics N.V. Method of determining an end point for a remote microwave plasma cleaning system
JP2002151417A (ja) * 2000-11-08 2002-05-24 Hitachi Ltd プラズマcvd装置
US20040087170A1 (en) * 2002-09-05 2004-05-06 Percy Heger Method for determining the end point for a cleaning etching process
US7354778B2 (en) * 2002-09-05 2008-04-08 Infineon Technologies Ag Method for determining the end point for a cleaning etching process
US20040253828A1 (en) * 2003-06-16 2004-12-16 Takeshi Ozawa Fabrication method of semiconductor integrated circuit device
US20060090773A1 (en) * 2004-11-04 2006-05-04 Applied Materials, Inc. Sulfur hexafluoride remote plasma source clean
TWI355038B (en) * 2006-10-04 2011-12-21 Macronix Int Co Ltd Method of monitoring plasma process tool, protecti
US20090035945A1 (en) * 2007-07-31 2009-02-05 Renesas Technology Corp. Manufacturing method of semiconductor integrated circuit device
US20140053867A1 (en) * 2009-01-16 2014-02-27 Novellus Systems, Inc. Plasma clean method for deposition chamber

Also Published As

Publication number Publication date
CN107424898B (zh) 2021-03-19
US20170342556A1 (en) 2017-11-30
CN107424898A (zh) 2017-12-01
EP3249073A1 (en) 2017-11-29
JP7011403B2 (ja) 2022-01-26
US10309014B2 (en) 2019-06-04
TW201805079A (zh) 2018-02-16
KR102185805B1 (ko) 2020-12-02
KR20170132685A (ko) 2017-12-04
GB201609119D0 (en) 2016-07-06
JP2017212445A (ja) 2017-11-30

Similar Documents

Publication Publication Date Title
TWI795358B (zh) 以基團來清潔電漿處理裝置之一腔室的方法及電漿處理裝置
TWI591742B (zh) 藉由監視微量氣體濃度以偵測晶圓電漿處理中之發弧現象
TWI641065B (zh) 基板處理裝置
JP5709912B2 (ja) プラズマ処理システムにおけるクリーニングまたはコンディショニングプロセスのエンドポイント決定方法及び装置
US9396964B2 (en) Plasma processing apparatus, plasma processing method, and non-transitory computer-readable medium
JP5495476B2 (ja) プラズマプローブ装置およびプラズマプローブ装置を備えたプラズマ処理チャンバ
US20050145333A1 (en) Processing device and processing method
JP2017212445A5 (zh)
US7959970B2 (en) System and method of removing chamber residues from a plasma processing system in a dry cleaning process
KR102441116B1 (ko) 플라즈마 처리 방법 및 플라즈마 처리 장치
US20070062647A1 (en) Method and apparatus for isolative substrate edge area processing
KR100595069B1 (ko) 드라이 에칭 방법
CN104282519B (zh) 等离子体处理装置的清洁方法
TW201941300A (zh) 形成氣隙的系統及方法
JP2006086325A (ja) クリーニングの終点検出方法
JP2006319042A (ja) プラズマクリーニング方法、成膜方法
CN116568862A (zh) 陈化处理腔室的方法
Moriya et al. Reduction of particle contamination in an actual plasma etching process
JP2009021624A (ja) 処理装置及び処理装置のクリーニング方法
JP2005019763A (ja) ドライエッチング装置
KR20060062930A (ko) 플라즈마 발생 장치
JPS6248022A (ja) 半導体基板エツチング装置