TWI762358B - 半導體元件及其製造方法 - Google Patents

半導體元件及其製造方法 Download PDF

Info

Publication number
TWI762358B
TWI762358B TW110122765A TW110122765A TWI762358B TW I762358 B TWI762358 B TW I762358B TW 110122765 A TW110122765 A TW 110122765A TW 110122765 A TW110122765 A TW 110122765A TW I762358 B TWI762358 B TW I762358B
Authority
TW
Taiwan
Prior art keywords
layer
gate
gate electrode
fin structure
dielectric layer
Prior art date
Application number
TW110122765A
Other languages
English (en)
Other versions
TW202243005A (zh
Inventor
潘承緯
薛仁智
朱立峰
廖志騰
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Application granted granted Critical
Publication of TWI762358B publication Critical patent/TWI762358B/zh
Publication of TW202243005A publication Critical patent/TW202243005A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28114Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor characterised by the sectional shape, e.g. T, inverted-T
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823468MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823481MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
    • H01L29/42376Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out characterised by the length or the sectional shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Thin Film Transistor (AREA)

Abstract

在製造導體元件的方法中,形成從設置於基板之上的隔離絕緣層突出的鰭片結構,形成犧牲閘極介電層於鰭片結構之上,形成多晶矽層於犧牲閘極介電層之上,形成遮罩圖案於多晶矽層之上,以及使用遮罩圖案作為蝕刻遮罩,將多晶矽層圖案化成犧牲閘電極。犧牲閘電極於鰭片結構的頂部的位準之上具有狹窄部分,使得犧牲閘電極的寬度減小,具有局部最小值,然後往鰭片結構的頂部增加。

Description

半導體元件及其製造方法
本揭示內容是關於一種半導體元件以及一種半導體元件的製造方法。
隨著半導體工業為了追求更高的元件密度、更高的性能以及更低的成本而進入到奈米技術製程節點,製造和設計方面帶來的挑戰皆導致了三維設計的發展,例如多閘極場效電晶體(field effect transistor;FET),其包含鰭式FET(FinFET)以及環繞式閘極(gate-all-around;GAA)FET。在FinFET中,閘電極與通道區域的三個側面相鄰,且在其間插入有閘極介電層。FinFET的閘電極包含由閘極取代技術形成的一或多層的金屬材料。
本揭示內容提供一種半導體元件的製造方法,包含以下操作。形成鰭片結構,鰭片結構從設置於基板之上的 隔離絕緣層突出。形成犧牲閘極介電層於鰭片結構之上。形成多晶矽層於犧牲閘極介電層之上。形成遮罩圖案於多晶矽層之上。使用遮罩圖案作為蝕刻遮罩,將多晶矽層圖案化成犧牲閘電極。其中犧牲閘電極於鰭片結構的頂部的位準之上具有狹窄部分,使得犧牲閘電極的寬度減小,具有局部最小值,然後往鰭片結構的頂部增加。
本揭示內容提供一種半導體元件的製造方法,包含以下操作。形成鰭片結構,鰭片結構從設置於基板之上的隔離絕緣層突出。形成第一犧牲閘極結構和第二犧牲閘極結構於鰭片結構之上。形成複數個閘極側壁間隔物於第一犧牲閘電極和第二犧牲閘電極的每個側壁上。形成層間介電層。通過移除第一犧牲閘極結構來形成第一閘極空間,且通過移除第二犧牲閘極結構來形成第二閘極空間。形成閘極介電層於第一閘極空間和第二閘極空間中。在第一閘極空間中的閘極介電層之上形成包含一個或多個導電材料層的第一閘電極層,且在第二閘極空間中的閘極介電層之上形成包含一個或多個導電材料層的第二閘電極層。凹陷閘極介電層和第一閘電極層,且凹陷閘極介電層和第二閘電極層。形成第一絕緣帽層於凹陷的閘極介電層和第一凹陷的閘電極層之上,且形成第二絕緣帽層於凹陷的閘極介電層和第二凹陷的閘電極層之上。其中第一閘極空間和第二閘極空間的每一者在鰭片結構的頂部的位準之上具有狹窄部分,使得第一閘極空間和第二閘極空間的每一者的寬度減小,具有局部最小值,然後往鰭片結構的頂部增加。
本揭示內容提供一種半導體元件,包含鰭片結構、源極/汲極區域、閘極介電層以及閘電極層。鰭片結構從設置於基板之上的隔離絕緣層突出,且鰭片結構具有通道區域。源極/汲極區域設置於基板之上。閘極介電層設置通道區域上。閘電極層設置於閘極介電層上。其中閘電極包含上部和下部,上部於通道區域的頂部的位準之上,下部於通道區域的頂部的位準之下且於隔離絕緣層的上表面之上。上部的寬度從鰭片結構的頂部減少。
10:基板
11:下部
12:雜質離子/摻雜物
15:遮罩層
15A:第一遮罩層
15B:第二遮罩層
20:鰭片結構
22:襯墊層
25:鰭片結構
30:絕緣材料層/隔離絕緣層
40:犧牲閘極結構/絕緣材料層
42:犧牲閘極介電層
44:犧牲閘電極
45:毯覆層/側壁間隔物
46:襯墊SiN層
48:氧化矽遮罩層
49:閘極空間
50:源極/汲極(S/D)磊晶層
52:孔洞
60:絕緣襯墊層
65:層間介電(ILD)層
66:介電層
101:界面層
102:閘極介電層
103:功函數調整層
104:閘電極層
105:功函數調整層
106:絕緣帽層
107:體金屬閘電極層
110:接觸孔
120:矽化物層
130:導電材料
a:寬度
b:寬度
c:高度
d:高度
e:寬度
f:空間
g:深度
h:高度
i:高度
j:角度
A:寬度
A':寬度
B:寬度
B':寬度
C:寬度
C':寬度
D:寬度
E:寬度
F:寬度
H1:高度
H1':高度
H2:高度
H3:高度
GH:垂直長度
GH’:高度
FH:垂直長度
X:方向
Y:方向
Z:方向
X1-X1:線
Y1-Y1:線
Y2-Y2:線
當結合隨附圖式進行閱讀時,本揭示內容之詳細描述將能被充分地理解。應注意,根據業界標準實務,各特徵並非按比例繪製且僅用於圖示目的。事實上,出於論述清晰之目的,可任意增加或減小各特徵之尺寸。
第1圖示出根據本揭示內容之一實施方式之製造FET元件的順序製程的一個階段。
第2圖示出根據本揭示內容之一實施方式之製造FET元件的順序製程的一個階段。
第3圖示出根據本揭示內容之一實施方式之製造FET元件的順序製程的一個階段。
第4圖示出根據本揭示內容之一實施方式之製造FET元件的順序製程的一個階段。
第5圖示出根據本揭示內容之一實施方式之製造FET元件的順序製程的一個階段。
第6圖示出根據本揭示內容之一實施方式之製造FET元件的順序製程的一個階段。
第7圖示出根據本揭示內容之一實施方式之製造FET元件的順序製程的一個階段。
第8圖示出根據本揭示內容之一實施方式之製造FET元件的順序製程的一個階段。
第9圖示出根據本揭示內容之一實施方式之製造FET元件的順序製程的一個階段。
第10圖示出根據本揭示內容之一實施方式之製造FET元件的順序製程的一個階段。
第11圖示出根據本揭示內容之一實施方式之製造FET元件的順序製程的一個階段。
第12圖示出根據本揭示內容之一實施方式之製造FET元件的順序製程的一個階段。
第13圖示出根據本揭示內容之一實施方式之製造FET元件的順序製程的一個階段。
第14圖示出根據本揭示內容之一實施方式之製造FET元件的順序製程的一個階段。
第15圖示出根據本揭示內容之一實施方式之製造FET元件的順序製程的一個階段。
第16圖示出根據本揭示內容之一實施方式之製造FET元件的順序製程的一個階段。
第17A圖、第17B圖、第17C圖和第17D圖示出根據本揭示內容之一實施方式之閘極取代操作的順序製 程的各個階段。
第18A圖、第18B圖和第18C圖示出根據本揭示內容之一實施方式之閘極取代操作的順序製程的各個階段。
第19A圖、第19B圖和第19C圖示出根據本揭示內容之一實施方式之閘極取代操作的順序製程的各個階段。
第20A圖、第20B圖和第20C圖示出根據本揭示內容之一實施方式之閘極取代操作的順序製程的各個階段。
第21A圖、第21B圖和第21C圖示出根據本揭示內容之一實施方式之閘極取代操作的順序製程的各個階段。
第22A圖和第22B圖示出根據本揭示內容之一實施方式之犧牲閘電極的輪廓。
第23A圖、第23B圖、第23C圖和第23D圖示出根據本揭示內容之一實施方式之閘極取代操作的順序製程的各個階段。
第24圖示出根據本揭示內容之一實施方式之金屬閘極結構的橫截面圖。
將理解的是,以下揭示提供許多不同實施方式或實施例,用於實現本揭示內容的不同特徵。以下敘述部件與 佈置的特定實施方式,以簡化本揭示內容。這些當然僅為實施例,並且不是意欲作為限制。舉例而言,元件的尺寸並不限制於所揭示的範圍和數值,但可依據製程條件和/或裝置所需的性能而定。另外,在隨後的敘述中,第一特徵在第二特徵之上或在第二特徵上的形成,可包括第一特徵及第二特徵形成為直接接觸的實施方式,亦可包括有另一特徵可形成在第一特徵及第二特徵之間,以使得第一特徵及第二特徵可不直接接觸的實施方式。為了簡化及明確敘述,可以按不同比例任意繪製各種特徵。
除此之外,空間相對用語如「下面」、「下方」、「低於」、「上面」、「上方」及其他類似的用語,在此是為了方便描述圖中的一個元件或特徵和另一個元件或特徵的關係。空間相對用語除了涵蓋圖中所描繪的方位外,該用語更涵蓋裝置在使用或操作時的其他方位。該裝置可以其他方式定向(旋轉90度或以其他定向),並且在此使用的空間相對描述語可以同樣的被相應地解釋。另外,用語「由...製成」可能表示「包含」或「由...組成」。
在閘極取代技術中,先在通道區域上形成包含犧牲閘電極(舉例來說,由多晶矽製成)的犧牲閘極結構,然後將其替換為金屬閘極結構。在金屬閘極FinFET中,元件性能受金屬閘極輪廓(形狀)設計的影響,且金屬閘極輪廓通常取決於犧牲閘電極的輪廓。在一些FinFET元件中,在閘極取代製程之後以形成金屬閘極結構,凹陷金屬閘極結構的上部,及形成絕緣帽層於凹陷的閘極結構上,以確 保隔離區域在金屬閘電極和相鄰的導電接觸(conductive contact)之間。此外,在先進的FinFET元件中,將具有不同的臨界電壓之各種FET(n型通道的FET和p型通道的FET)設置在一個元件中,且各種FET可具有不同的金屬(例如,功函數調整金屬)結構。閘極凹陷蝕刻以形成閘極帽(gate cap)可能會受到金屬結構的影響,並且無論金屬結構如何,都期望將金屬閘極結構凹陷到期望的位準(level)。在本揭示內容中提供,藉由調整犧牲閘電極的輪廓(形狀)來控制凹陷的金屬閘極結構的高度之方法。
第1圖至第16圖示出根據本揭示內容之一實施方式之製造FET元件的順序製程。可以理解的是,對於此方法的額外的實施方式,可以在第1圖至第16圖所示的製程之前、期間和之後提供額外的操作,且可以取代或省略以下描述的一些操作。操作/製程的順序是可以互換的。
如第1圖所示,將雜質離子(摻雜物)12佈植到矽基板10中以形成井區。執行離子佈植以避免衝穿效應(punch-through effect)。
在一個實施方式中,基板10至少在其表面部分上包含單晶半導體層。基板10可包含單晶半導體材料,諸如Si、Ge、SiGe、GaAs、InSb、GaP、GaSb、InAlAs、InGaAs、GaSbP、GaAsSb和InP,但不限於此。在此實施方式中,基板10由Si製成。
基板10可包含在其表面區域中的一個或多個緩衝 層(未繪示)。緩衝層可用將晶格常數從基板的晶格常數逐漸改變為源極/汲極區域的晶格常數。緩衝層可以由磊晶成長的單晶半導體材料形成,諸如Si、Ge、GeSn、SiGe、GaAs、InSb、GaP、GaSb、InAlAs、InGaAs、GaSbP、GaAsSb、GaN、GaP和InP,但不限於此。在一特定的實施方式中,基板10包含磊晶生長於矽基板10上的矽鍺(SiGe)緩衝層。SiGe緩衝層的鍺濃度可以從最底部緩衝層之30原子%的鍺增加到最頂部緩衝層之70原子%的鍺。
基板10可包含已被適當地摻雜有雜質的各個區域(例如,p型或n型導電性)。舉例來說,對於n型Fin FET的摻雜物12為硼(BF2),且對於p型Fin FET的摻雜物12為磷。
在第2圖中,遮罩層15形成於基板10之上。在一些實施方式中,遮罩層15包含第一遮罩層15A和第二遮罩層15B。在一些實施方式中,第一遮罩層15A由氮化矽製成,且第二遮罩層15B由氧化矽製成。在其他實施方式中,第一遮罩層15A由氧化矽製成且第二遮罩層15B由氮化矽(SiN)製成。第一和第二遮罩層由化學氣相沉積(chemical vapor deposition;CVD)(包含低壓CVD(low pressure CVD;LPCVD)和電漿增強CVD(plasma enhanced CVD;PECVD))、物理氣相沉積(physical vapor deposition;PVD)、原子層沉積(atomic layer deposition;ALD)或其他合適的製程 來形成。通過圖案化操作(包含光微影和蝕刻)將遮罩層15圖案化為遮罩圖案。
接著,如第3圖所示,通過圖案化的遮罩層15將基板10圖案化為鰭片結構25,鰭片結構25沿著X方向延伸。在第3圖中,兩個鰭片結構25在Y方向上排列。但鰭片結構的數量不限於兩個,並且可以小至一個或三個或更多。在一些實施方式中,在鰭片結構25的兩側形成一個或多個虛設鰭片結構,以改善圖案化操作中的圖案保真度(pattern fidelity)。
可以通過任何合適的方法來圖案化鰭片結構25。舉例來說,可以使用一個或多個微影製程來圖案化鰭片結構,微影製程包含雙圖案微影(double-patterning)或多圖案微影(multi-patterning)製程。一般來說,雙圖案微影或多圖案微影製程結合微影以及自對準製程,例如,其允許創建的圖案之間距小於使用單個直接微影製程可獲得的圖案之間距。舉例來說,在一個實施方式中,犧牲層形成於基板之上,並使用微影製程而將圖案化。使用自對準製程在圖案化的犧牲層旁邊形成間隔物。接著移除犧牲層,然後可以使用留下來的間隔物來圖案化鰭片結構。
在形成鰭片結構之後,絕緣材料層在基板上形成包含一個或多個層的絕緣材料,使得鰭片結構完全嵌入於絕緣層中。用於絕緣層的絕緣材料可包含氧化矽、氮化矽、氮氧化矽(silicon oxynitride;SiON)、SiOCN、SiCN、摻氟矽酸鹽玻璃(fluorine-doped silicate glass; FSG)或低k介電材料,其由低壓化學氣相沉積(low pressure chemical vapor deposition;LPCVD)、電漿CVD或可流動CVD形成。可在形成絕緣層之後執行退火操作。然後,執行平坦化操作(諸如化學機械研磨(chemical mechanical polishing;CMP)方法和/或回蝕方法),使得從絕緣材料層30露出鰭片結構25的上表面,如第4圖所示。
在一些實施方式中,在形成絕緣材料層40之前,一個或多個襯墊層22形成於第3圖的結構之上,如第4圖所示。襯墊層22包含一個或多個的氮化矽、SiON、SiCN、SiOCN以及氧化矽。
接著,如第5圖所示,絕緣材料層30被凹陷以形成隔離絕緣層30,從而露出鰭片結構20的上部。通過此操作,鰭片結構25通過隔離絕緣層30彼此電性隔離,隔離絕緣層30也稱為淺溝槽隔離(shallow trench isolation;STI)。鰭片結構的下部11被嵌入在隔離絕緣層30中。
在形成隔離絕緣層30之後,形成犧牲閘極介電層42,如第6圖所示。犧牲閘極介電層42包含一個或多個絕緣材料層,諸如基於氧化矽的材料(silicon oxide-based material)。在一個實施方式中,使用CVD而形成氧化矽。在一些實施方式中,犧牲閘極介電層42的厚度介於約1nm至約5nm的範圍內。
第7圖示出在暴露的鰭片結構25之上形成犧牲閘 極結構40之後的結構。犧牲閘極結構包含犧牲閘電極44以及犧牲閘極介電層42。犧牲閘極結構40形成於鰭片結構的一部分之上,其用來當作通道區域。犧牲閘極結構40是通過首先在鰭片結構上方毯覆沈積犧牲閘極介電層42而形成的。然後將犧牲閘電極層毯覆沉積在犧牲閘極介電層上且在鰭片結構之上,使得鰭片結構完全嵌入在犧牲閘電極層中。犧牲閘電極層包含矽,諸如多晶矽或非晶矽。在一些實施方式中,犧牲閘電極層會經過平坦化操作。使用CVD(包含LPCVD和PECVD)、PVD、ALD或其他合適的製程來沈積犧牲閘極介電層以及犧牲閘電極層。隨後,遮罩層形成於犧牲閘電極層之上。遮罩層包含襯墊SiN層46和氧化矽遮罩層48。
接著,在遮罩層上執行圖案化操作,犧牲閘電極層被圖案化成犧牲閘極結構40,如第7圖所示。將在下面更做詳細地說明犧牲閘極結構40。
在一些實施方式中,犧牲閘極結構40包含犧牲閘極介電層42、犧牲閘電極44(例如,多晶矽)、襯墊SiN層46以及氧化矽遮罩層48。通過圖案化犧牲閘極結構40,將鰭片結構20的上部分部分地暴露在犧牲閘極結構40的相對兩側,從而定義出源極/汲極(S/D)區域,如第7圖所示。在本揭示內容中,源極和汲極可互換使用,且其結構實質上相同。在第7圖中,形成一個犧牲閘極結構,但犧牲閘極結構的數量不限於一個。在一些實施方式中,在X方向上排列兩個或更多的犧牲閘極結構。在某些實施方式 中,一個或多個虛設犧牲閘極結構形成於犧牲閘極結構的相對兩側上以改善圖案保真度。
在形成犧牲閘極結構40之後,用於側壁間隔物的毯覆層45之絕緣材料通過使用CVD或其他合適的方法來保形地形成,如第8圖所示。毯覆層45以保形的方式沉積,使得其形成為在垂直表面(諸如側壁、水平表面和犧牲閘極結構的頂部)具有實質上相等的厚度。在一些實施方式中,毯覆層45沉積至約2nm至約10nm範圍內的厚度。在一個實施方式中,毯覆層45的絕緣材料為基於氮化矽的材料,諸如SiN、SiON、SiOCN、SiCN或其組合。
此外,如第9圖所示,側壁間隔物45形成於犧牲閘極結構的相對側壁上,且隨後S/D區域的鰭片結構被凹陷至低於隔離絕緣層30的上表面。在形成毯覆層45之後,在毯覆層45上使用(例如)反應離子蝕刻(reactive ion etching;RIE)來執行各向異性蝕刻。在各向異性蝕刻製程期間,從水平表面移除大部份的絕緣材料,將介電間隔層留在垂直表面(諸如犧牲閘極結構的側壁以及暴露的鰭片結構的側壁)上。可以從側壁間隔物暴露出遮罩層48。在一些實施方式中,隨後可以進行等向蝕刻以從暴露的鰭片結構25的S/D區域的上部分移除絕緣材料。
隨後,通過使用乾蝕刻和/或濕蝕刻,S/D區域的鰭片結構被凹陷至低於隔離絕緣層30的上表面。如第9圖所示,部分地保留形成於暴露的鰭片結構(鰭片側壁)的S/D區域上的側壁間隔物45。然而,在其他實施方式中, 完全移除形成於暴露的鰭片結構的S/D區域上的側壁間隔物45。在GAA FET的情況下,在凹陷S/D區域之後會形成內部間隔物。
隨後,如第10圖所示,形成源極/汲極(S/D)磊晶層50。S/D磊晶層50包含一個或多個用於n型通道FET的Si、SiP、SiC和SiCP層,亦或是用於p型通道FET的Si、SiGe、Ge、GeSn和SiGeSn。S/D磊晶層50是使用CVD、ALD或分子束磊晶(molecular beam epitaxy;MBE)的磊晶成長方法所生成的。
如第10圖所示,S/D磊晶層分別從凹陷的鰭片結構生長。在一些實施方式中,生長的磊晶層在隔離絕緣層上方合併並形成孔洞52。
隨後,形成絕緣襯墊層60,其作為蝕刻停止層,然後形成層間介電(interlayer dielectric;ILD)層65,如第11圖所示。絕緣襯墊層60由基於氮化矽的材料(silicon nitride-based material)(諸如SiN)製成,並且在後續的蝕刻操作中用作接觸蝕刻停止層。ILD層65的材料包括含有Si、O、C和/或H的化合物,諸如氧化矽、SiCOH和SiOC。有機材料(諸如聚合物),可用於ILD層65。在形成ILD層65之後,執行平坦化操作(諸如CMP),使得犧牲閘電極44的頂部被暴露出來,如第11圖所示。
接著,如第12圖所示,移除犧牲閘電極44以及犧牲閘極介電層42,從而在閘極空間49中暴露出鰭片結 構。在移除犧牲閘極結構期間,ILD層65保護S/D磊晶層50。可使用電漿乾蝕刻和/或濕蝕刻來移除犧牲閘極結構。當犧牲閘電極44為多晶矽且ILD層65為氧化矽時,可以使用諸如TMAH溶液之濕蝕刻劑來選擇性地移除犧牲閘電極44。然後使用電漿乾蝕刻和/或濕蝕刻來移除犧牲閘極介電層42。
在移除犧牲閘極結構之後,閘極介電層102形成於暴露的鰭片結構20周圍,且閘電極層104形成於閘極介電層102上,如第13圖所示。
在某些實施方式中,閘極介電層102包含一個或多個介電材料層,諸如氧化矽、氮化矽、高k介電材料、其他合適的介電材料和/或其組合。高k介電材料的實施利包含HfO2、HfSiO、HfSiON、HfTaO、HfTiO、HfZrO、氧化鋯、氧化鋁、氧化鈦、二氧化鉿-氧化鋁(hafnium dioxide-alumina;HfO2-Al2O3)合金、其他合適的高k介電材料和/或其組合。在一些實施方式中,閘極介電層102包含形成於通道層和介電材料之間的界面層。
可通過CVD、ALD或任何合適的方法來形成閘極介電層102。在一個實施方式中,使用諸如ALD的高度共形沈積製程來形成閘極介電層102,以確保在通道區域上具有均勻厚度的閘極介電層。在一實施方式中,閘極介電層102的厚度在約1nm至約6nm的範圍內。
閘電極層104形成於閘極介電層102上。閘電極104包含一個或多個導電材料層,諸如多晶矽、鋁、銅、 鈦、鉭、鎢、鈷、鉬、氮化鉭(tantalum nitride)、矽化鎳(nickel silicide)、矽化鈷(cobalt silicide)、TiN、WN、TiAl、TiAlN、TaCN、TaC、TaSiN、金屬合金、其他合適的材料和/或其組合。
閘電極層104可通過CVD、ALD、電鍍或其他合適的方法來形成。閘電極層也沈積在ILD層65的上表面之上。通過使用例如CMP來平坦化形成於ILD層65之上的閘極介電層以及閘電極層,直到露出ILD層65的頂表面。
在平坦化操作之後,凹陷閘電極層104且絕緣帽層106形成於凹陷的閘電極104之上,如第13圖所示。絕緣帽層包含一個或多個基於氮化矽的材料層,諸如SiN。絕緣帽層106可以通過沉積絕緣材料並隨後進行平坦化操作來形成。
在本揭示內容的某些實施方式中,一個或多個功函數調整層(未繪示)插入在閘極介電層102和閘電極104之間。功函數調整層由導電材料製成,諸如單層的TiN、TaN、TaAlC、TiC、TaC、Co、Al、TiAl、HfTi、TiSi、TaSi或TiAlC,亦或是多層的兩種或更多種這些材料。對於n型通道FET,將一個或多個的TaN、TaAlC、TiN、TiC、Co、TiAl、HfTi、TiSi和TaSi用作功函數調整層。對於p型通道FET,將一個或多個的TiAlC、Al、TiAl、TaN、TaAlC、TiN、TiC和Co用作功函數調整層。功函數調整層可通過ALD、PVD、CVD、電子束蒸 鍍(e-beam evaporation)或其他合適的製程來形成。此外,可以針對n型通道FET和p型通道FET分別形成不同金屬層的功函數調整層。
隨後,通過使用乾蝕刻將接觸孔110形成於ILD層65中,如第14圖所示。在一些實施方式中,蝕刻S/D磊晶層50的上部。
矽化物層120形成於(S/D)磊晶層50之上,如第15圖所示。矽化物層包含一個或多個的WSi、CoSi、NiSi、TiSi、MoSi和TaSi。然後,導電材料130形成於如第16圖所示的接觸孔中。導電材料130包含一個或多個的Co、Ni、W、Ti、Ta、Cu、Al、TiN和TaN。
可以理解的是,FinFET歷經進一步的CMOS製程以形成各種特徵,諸如接觸/穿孔、互連金屬層、介電層、鈍化層等。
第17A圖至第21C圖示出根據本揭示內容之一實施方式之閘極取代操作的順序製程。可以理解的是,對於此方法之額外的實施方式,可以在第17A圖至第21C圖之前、期間和之後提供額外的操作,並且可以替換或消除以下描述的一些操作。操作/製程的順序是可以互換的。
第17A圖至第17D圖示出在移除犧牲閘極結構(犧牲閘電極44和犧牲閘極介電層42)之後的各種視圖,從而形成閘極空間49,如第12圖所述。第17A圖是沿著第17D圖的線X1-X1的橫截面圖(平面或投影圖),第17B圖是沿著第17D圖的線Y1-Y1的橫截面圖,第17C 圖是沿著第17D圖的線Y2-Y2的橫截面圖。在一些實施方式中,額外的介電層66形成於ILD層65之上。在一些實施方式中,額外的介電層66包含氮化矽(silicon nitride)。
然後,如第18A圖至第18C圖所示,界面層101形成於鰭片結構20的通道區域上,且閘極介電層102形成於界面層以及閘極側壁間隔物45的內壁之上。然後,一個或多個功函數調整層103形成於閘極介電層102上,且體金屬閘電極層107形成於功函數調整層103之上。在一些實施方式中,黏著層形成於功函數調整層和體金屬閘電極層107之間。在一些實施方式中,第18A圖至第18C圖所示的FinFET為n型FET,且功函數調整層103為n型功函數調整層(例如,TiAl或TiAlC)。
此外,如第19A圖至第19C圖所示,包含金屬閘電極104和閘極介電層102的金屬閘極結構被凹陷到期望的高度,從而形成閘極凹陷空間,並且用絕緣材料106填充閘極凹陷空間。在一些實施方式中,閘極絕緣帽層106包含氮化矽、SiON和/或SiOCN或任何其他合適的材料。
第20A圖至第20C圖以及第21A圖至第21C圖為p型FET的情況。在一些實施方式中,一個或多個p型功函數調整層105(例如,Ti或TiN)形成於閘極介電層102上,且n型功函數調整層103形成於p型功函數調整層105上。在一些實施方式中,黏著層形成於功函數調整 層和體金屬閘電極層107之間。
一般來說,一個n型FinFET以及一個p型FinFET形成在一個元件中。此外,在一些實施方式中,FET的不同類型(導電性和/或臨界電壓)的閘極長度(沿著Y方向的寬度)是不同的。在一個n型金屬閘極結構以及一個p型金屬閘極結構和/或不同的閘極長度結構上執行閘極凹陷蝕刻。在這種情況下,由於不同的金屬結構和/或不同的長度,凹陷的金屬閘極結構的高度會有所不同。當凹陷的金屬閘極結構高於期望的位準(較少的蝕刻)時,介於金屬閘電極和相鄰接觸(例如,源極/汲極磊晶層上的接觸)之間的電隔離可能會不足。當凹陷的金屬閘極結構低於期望的位準(較多的蝕刻)時,蝕刻可能會損壞下面的鰭片結構(通道區域)。
鑑於上述的問題,在本揭示內容中,犧牲閘電極44的輪廓被調整為在鰭片頂部區域之上具有狹窄部分,如第22A圖和第22B圖所示。
第22A圖與第7圖相同,其示出具有硬遮罩層(襯墊SiN層46和氧化矽遮罩層48)的圖案化的犧牲閘電極44。第22B圖示出硬遮罩層(襯墊SiN層46和氧化矽遮罩層48)的犧牲閘電極44之圖案輪廓。儘管為了說明之目的,第22A圖示出了一個犧牲閘電極,而第22B圖示出了兩個,但是並不限於一個或兩個。
犧牲閘電極44具有低於鰭片結構20的頂部之下部(FH)、高於鰭片結構20的頂部之上部(GH)、以及遮罩 層(襯墊SiN層46和氧化矽遮罩層48)。在一些實施方式中,下部具有垂直長度FH,其從隔離絕緣層30的表面到鰭片結構20的頂部位準(即,從隔離絕緣層伸出的鰭片結構20的上部通道區域的高度),且上部具有垂直長度GH。在一些實施方式中,GH在約1.5FH至3FH的範圍內。
在本揭示內容中,藉由控制犧牲閘極蝕刻的一個或多個蝕刻條件,可以調整在鰭片結構20的頂部上方的犧牲閘電極44的輪廓。在第22B圖中,寬度A是從鰭片結構20的頂部距離20%的GH的高度H1處所測得的,寬度C是在鰭片結構20的頂部的位準處所測得的。在一些實施方式中,對應於從鰭片結構20的頂部開始的GH,在GH的約5-15%的高度H2處,寬度B是位準H1內的最窄寬度。
如第22A圖所示,對應於犧牲閘電極的高度H1(狹窄部分)的區域具有倒置紡錘(inverted spindle)(倒置針插(inverted pincushion))的形狀。在一些實施方式中,寬度A和寬度C大於寬度B。在一些實施方式中,寬度B是最窄的。在一些實施方式中,B/C的比率為約0.5至約0.9,且在其他實施方式中,B/C的比率為約0.6至約0.8。若此比率太小,閘極空間的最小寬度會太小,以致於無法用一個或多個導電層完全填充閘極空間。若此比率太大,凹陷的金屬閘極的高度可能會不相同。
在一些實施方式中,如第22B圖所示,犧牲閘電極44的下部(FH)也具有倒置紡錘(倒置針插)的形狀。寬度D大於寬度E和寬度F,且寬度E小於寬度F。在一些 實施方式中,取決於元件性能要求,寬度E比寬度D小約7-9%(寬度D的0.91-0.93),且寬度F比寬度D小約5-6%。在一些實施方式中,犧牲閘電極的下部呈倒錐形,其寬度F小於寬度E,寬度E小於寬度D。在一些實施方式中,最窄部分位於距離隔離絕緣層30的上表面約0.4FH至約0.5FH的位準。
如下所述,犧牲閘電極44的形狀對應於金屬閘電極的形狀。
通過改變一個或多個製程條件來控制犧牲閘電極44的形狀或輪廓。製程條件包含在塗料形成的製程中的壓力、氣體流速、氣體流速比和輸入電功率。在一些實施方式中,在蝕刻過程中,(舉例來說,HBr/Cl2)氣體比率會改變。一般來說,當HBr/Cl2的氣體比率越高,會有越多的垂直蝕刻程序,從而導致較大的CD。當HBr/Cl2的氣體比率越低,會有越多的水平蝕刻程序,從而導致較小的CD。在一些實施方式中,當多晶矽層蝕刻到達某一程度時(舉例來說,約從鰭片結構20的頂部距離20%的GH),會改變HBr/Cl2的氣體比率(降低)以形成狹窄的部分。在一些實施方式中,在形成最窄部分(寬度B)之後,會改變HBr/Cl2的氣體比率(增加)。在一些實施方式中,較小的CD的HBr/Cl2的氣體比率約為1.0至2.0的範圍內,較大的CD的HBr/Cl2的氣體比率約為2.5至3.5的範圍內。
第23A圖至第23D圖示出根據本揭示內容之一 實施方式之閘極取代操作的順序製程。可以理解的是,對於此方法之額外的實施方式,可以在第23A圖至第23D圖之前、期間和之後提供額外的操作,並且可以替換或消除以下描述的一些操作。操作/製程的順序是可以互換的。第23A圖至第23D圖示出一個n型通道FET以及一個p型通道FET的金屬閘極形成製程。
第23A圖示出在移除犧牲閘電極44以及犧牲閘極介電層42之後,對應於第17D圖的線Y1-Y1的橫截面圖。如第23A圖所示,閘極空間49由閘極側壁間隔物45形成,其反映了犧牲閘電極44的輪廓(形狀)。在高度H1’的範圍內(其為閘極空間的高度GH’的20%),閘極空間49具有狹窄部分。高度GH’為從鰭片結構20的頂部(通道區域)到額外的介電層66的上表面之距離。
第23A圖中的寬度A’是從鰭片結構20的頂部在GH’的20%的位準H1’處測得的,且寬度C’是在鰭片結構20的頂部的位準處所測得的。在一些實施方式中,對應於鰭片結構20的頂部的GH’的約5-15%的位準,寬度B’是在高度H1’內最窄的寬度。
如第23A圖所示,與閘極空間的GH’的深度的高度H1’(狹窄部分)相對應的區域具有倒置紡錘(倒置針插)的形狀。在一些實施方式中,寬度A’和寬度C’大於寬度B’。在一些實施方式中,寬度B’是最窄的。在一些實施方式中,B’/C’的比率約為0.5至約0.9,且在其他實施方式中,B’/C’的比率約為0.6至約0.8。在一些實施方式 中,閘極空間的尺寸H1’、A’、B’和C’實質上等於犧牲閘電極44的尺寸H1、A、B和C。
如第23B圖所示,閘極介電層102形成於界面層(未繪示第23B圖至第23D圖中)上,且一個或多個功函數調整層103和105形成於閘極介電層102之上。此外,體金屬閘電極層107形成於一個或多個功函數調整層103和105之上,執行平坦化操作(諸如CMP製程)以得到第23B圖的結構。
然後,如第23C圖所示,凹陷頂部或金屬閘極結構。當蝕刻程序向下且達到狹窄部分時,由於閘極結構的狹窄,因此蝕刻速率會降低。較慢的蝕刻速率有助於平衡不同金屬層結構的蝕刻量。因此,n型FET以及p型FET的金屬閘極結構的剩餘高度H3實質上相同。在一些實施方式中,n型FET以及p型FET的凹陷的金屬閘極結構之間的高度差在約0.1nm至約2nm的範圍內。在一些實施方式中,當凹陷的金屬閘極結構的頂部不平時,高度定義為體金屬閘電極107的平均高度。在一些實施方式中,如第23C圖所示,蝕刻在狹窄部分的中間停止。在一些實施方式中,剩餘高度H3為H1’的約40%至約60%。然後,如第23D圖,形成閘極絕緣帽層106。
雖然第23A圖和第23D圖示出將一個n型閘電極以及一個p型閘電極設置於一個鰭片結構之上,然而,在其他實施方式中,一個n型閘電極以及一個p型閘電極設置於不同的鰭片結構之上。此外,在其他實施方式中, 一個犧牲閘電極形成於兩個或更多個鰭片結構之上,且一個p型閘電極和一個n型閘電極形成於與一個犧牲閘電極對應的一個閘極空間中。
第24圖示出根據本揭示內容之一實施方式之金屬閘電極的橫截面圖。
如第24圖所示,金屬閘極結構具有一上部和一下部,上部位於鰭片結構的頂部(通道區域)的位準之上,下部位於鰭片結構的頂部的位準以及隔離絕緣層的上表面之間。在一些實施方式中,上部具有錐形形狀,其寬度朝著金屬閘極的頂部逐漸減小。在一些實施方式中,下部具有倒置紡錘(倒置針插)的形狀。
在第24圖中,尺寸「a」是金屬閘電極的頂部的寬度。在一些實施方式中,寬度「a」是在約10nm至約14nm的範圍內以得到蝕刻速率減慢的效果。尺寸「b」是金屬閘電極的寬度,其在鰭片結構20的頂部的位準處。在一些實施方式中,寬度「b」是在約13nm至約20nm的範圍內以避免在金屬閘電極中的接縫或空隙。此外,為避免在金屬閘電極中的接縫或空隙,在一些實施方式中,a/b的比率設定在約0.5至約0.9的範圍內,而在其他實施方式中,a/b比率在約0.6至約0.8的範圍內。
尺寸「c」是閘極介電層的高度,其在鰭片結構的頂部的位準之上。在一些實施方式中,高度「c」是在約6nm至約40nm的範圍內以在金屬閘電極和源極/汲極磊晶層50上的接觸之間得到足夠的分離。尺寸「d」是閘電極 層的高度,其在鰭片結構的頂部的位準之上。在一些實施方式中,高度「d」是在約6nm至約40nm的範圍內以在金屬閘電極以及源極/汲極磊晶層50上的接觸之間得到足夠的分離,並且避免鰭片結構上(通道區域)的損壞。此外,為避免在金屬閘電極以及源極/汲極磊晶層50上的接觸之間發生短路,在一些實施方式中,c/d的比率設置在0.5至約2.0,而在其他實施方式中,c/d的為約0.8至約1.2。在一些實施方式中,c>d。
尺寸「e」是閘極側壁間隔物的寬度。在一些實施方式中,寬度「e」是在約5nm至約13的範圍內以在蝕刻操作期間避免ILD損失。
尺寸「f」是相鄰的金屬閘極結構之間的空間,其為在鰭片結構的頂部的位準處。在一些實施方式中,空間f是在約20nm至約30nm的範圍內。
尺寸「g」為凹陷的量(深度),其對應於第23A圖所示的GH’。在一些實施方式中,取決於元件或製程的要求,深度「g」是在約40nm至約75nm的範圍內。尺寸「h」是從鰭結構的頂部到包括額外的介電層66的ILD層的頂部的高度,且高度h實質上等於d+g。在一些實施方式中,當形成接觸至源極/汲極磊晶層時,h/d的比率是在約2.0至約8.0nm的範圍內以得到足夠的製程邊緣(margin)。
尺寸「i」是從隔離絕緣層的上表面的鰭片高度。在一些實施方式中,取決於元件或製程的要求,d/i的比率 是在約0.1至約0.7的範圍內。
此外,在一些實施方式中,金屬閘電極(例如,W層)的頂部具有V型的截面,如第24圖所示。在一些實施方式中,角度「j」是在約1度至約45度的範圍內。
本文描述的各種實施方式或實施例相對於現有技術提供了若干優點。本揭示內容的實施方式中,調整蝕刻的多晶矽層(犧牲閘電極層)的輪廓(形狀)以具有狹窄部分,狹窄部分位於鰭片結構的頂部(通道區域)之上。通過控制多晶矽圖案(犧牲閘電極)的輪廓,可以控制不同金屬閘極結構之間的蝕刻速率均勻性,其可以改善元件性能和良率。
可以理解的是,在本文中並不一定要討論所有優點,對於所有實施方式或實施例都不需要特定的優點,並且其他實施方式或實施例可以提供不同的優點。
根據本揭示內容的一個態樣,製造半導體元件的方法包含,形成從設置於基板之上的隔離絕緣層突出的鰭片結構,形成犧牲閘極介電層於鰭片結構之上,形成多晶矽層於犧牲閘極介電層之上,形成遮罩圖案於多晶矽層之上,以及使用遮罩圖案作為蝕刻遮罩,將多晶矽層圖案化成犧牲閘電極。犧牲閘電極於鰭片結構的頂部的位準之上具有狹窄部分,使得犧牲閘電極的寬度減小,具有局部最小值,然後往鰭片結構的頂部增加。在一或多個前述和以下的實施方式中,犧牲閘電極在鰭片結構的頂部的位準處的寬度W1以及局部最小值的寬度W2滿足0.5
Figure 110122765-A0305-02-0027-1
W2/W1
Figure 110122765-A0305-02-0027-2
0.9。在一或多個前述和以下的實施方式中,犧牲閘電極包含下 部,下部於鰭片結構的頂部的位準之下,且於隔離絕緣層的上表面之上,以及下部具有倒置紡錘的形狀。在一或多個前述和以下的實施方式中,圖案化多晶矽層包含使用HBr氣體和Cl2氣體的電漿乾蝕刻,以及改變HBr/Cl2的氣體比率以形成狹窄部分。在一或多個前述和以下的實施方式中,當多晶矽層的蝕刻達到預定的位準時,減少HBr/Cl2的氣體比率。在一或多個前述和以下的實施方式中,在多晶矽層的蝕刻期間,減少HBr/Cl2的氣體比率。在一或多個前述和以下的實施方式中,更包含,形成複數個閘極側壁間隔物,形成包含磊晶半導體層的源極/汲極結構,形成層間介電層,移除犧牲閘電極和犧牲閘極介電層,形成閘極介電層和包含一個或多個導電材料層的閘電極層,凹陷閘極介電層和閘電極層,以及形成絕緣帽層於凹陷的閘極介電層和凹陷的閘電極層之上。在一或多個前述和以下的實施方式中,從鰭片結構的頂部的位準的凹陷的閘電極層的高度H1以及從隔離絕緣層的凹陷的閘電極層的高度H2滿足0.1
Figure 110122765-A0305-02-0028-3
H1/H2
Figure 110122765-A0305-02-0028-4
0.7。
根據本揭示內容的另一個態樣,一種半導體元件的製造方法包含,形成從設置於基板之上的隔離絕緣層突出的鰭片結構,形成第一犧牲閘極結構和第二犧牲閘極結構於鰭片結構之上,形成複數個閘極側壁間隔物於第一犧牲閘電極和第二犧牲閘電極的每個側壁上,形成層間介電層,通過移除第一犧牲閘極結構來形成第一閘極空間,且通過移除第二犧牲閘極結構來形成第二閘極空間,形成閘極介 電層於第一閘極空間和第二閘極空間中,在第一閘極空間中的閘極介電層之上形成包含一個或多個導電材料層的第一閘電極層,且在第二閘極空間中的閘極介電層之上形成包含一個或多個導電材料層的第二閘電極層,凹陷閘極介電層和第一閘電極層,且凹陷閘極介電層和第二閘電極層,以及形成第一絕緣帽層於凹陷的閘極介電層和第一凹陷的閘電極層之上,且形成第二絕緣帽層於凹陷的閘極介電層和第二凹陷的閘電極層之上。第一閘極空間和第二閘極空間的每一者在鰭片結構的頂部的位準之上具有狹窄部分,使得第一閘極空間和第二閘極空間的每一者的寬度減小,具有局部最小值,然後往鰭片結構的頂部增加。在一或多個前述和以下的實施方式中,第一閘電極的一個或多個導電材料層的數量不同於第二閘電極的一個或多個導電材料層的數量。在一或多個前述和以下的實施方式中,第一凹陷的閘電極層的高度與第二凹陷的閘電極層的高度之差在0.1nm至2nm的範圍內。在一或多個前述和以下的實施方式中,在鰭片結構的頂部的位準處之第一閘極空間和第二閘極空間的每一者的寬度W1以及局部最小值的寬度W2滿足0.6
Figure 110122765-A0305-02-0029-5
W2/W1
Figure 110122765-A0305-02-0029-6
0.8。在一或多個前述和以下的實施方式中,形成犧牲閘極結構包含通過使用HBr氣體和Cl2氣體的電漿乾蝕刻來圖案化多晶矽層來形成犧牲閘電極,以及在電漿乾蝕刻期間改變HBr/Cl2的氣體比率。在一或多個前述和以下的實施方式中,當多晶矽層的蝕刻達到預定的位準時,減少HBr/Cl2的氣體比率。在一或多 個前述和以下的實施方式中,在多晶矽層的蝕刻期間,減少HBr/Cl2的氣體比率。在一或多個前述和以下的實施方式中,第一犧牲閘電極和第二犧牲閘電極的每一者包含下部,下部於鰭片結構的頂部的位準之下且於隔離絕緣層的上表面之上,以及下部具有倒置紡錘的形狀。
根據本揭示內容的另一個態樣,一種半導體元件包含,鰭片結構,鰭片結構從設置於基板之上的隔離絕緣層突出,且鰭片結構具有通道區域,源極/汲極區域設置於基板之上,閘極介電層設置於通道區域上,以及閘電極層設置於閘極介電層上。閘電極包含上部和下部,上部於通道區域的頂部的位準之上,下部於通道區域的頂部的位準之下且於隔離絕緣層的上表面之上,以及上部的寬度從鰭片結構的頂部減少。在一或多個前述和以下的實施方式中,鰭片結構的頂部的位準處的上部的寬度W1與閘電極層的頂部的寬度W2滿足0.5
Figure 110122765-A0305-02-0030-7
W2/W1
Figure 110122765-A0305-02-0030-8
0.8。在一或多個前述和以下的實施方式中,閘極介電層的頂部與閘電極層的頂部位於不同的位準。在一或多個前述和以下的實施方式中,從鰭片結構的頂部的位準的上部的高度H1以及從隔離絕緣層下部的高度H2滿足0.1
Figure 110122765-A0305-02-0030-9
H1/H2
Figure 110122765-A0305-02-0030-10
0.7。在一或多個前述和以下的實施方式中,上部的頂部具有V型的截面。在一或多個前述和以下的實施方式中,下部具有倒置紡錘的形狀。
前述內容概述了幾種實施方式或實施例的特徵,使得本領域技術人員可以更好地理解本揭示內容的各個態樣。 本領域技術人員應理解,他們可以容易地使用本揭示內容作為設計或修改其他製程和結構的基礎,以實現相同的目的和/或實現與本文介紹的實施方式或實施例相同的優點。本領域技術人員還應該理解,這些等效構造不脫離本揭示內容的精神和範圍,並且在不背離本揭示內容的精神和範圍的前提下,它們可以在此處進行各種更改、替換和變更。
30:絕緣材料層/隔離絕緣層
44:犧牲閘電極
46:襯墊SiN層
48:氧化矽遮罩層
A:寬度
B:寬度
C:寬度
D:寬度
E:寬度
F:寬度
H1:高度
H2:高度
GH:垂直長度
FH:垂直長度

Claims (10)

  1. 一種半導體元件的製造方法,包含:形成一鰭片結構,該鰭片結構從設置於一基板之上的一隔離絕緣層突出;形成一犧牲閘極介電層於該鰭片結構之上;形成一多晶矽層於該犧牲閘極介電層之上;形成一遮罩圖案於該多晶矽層之上;以及使用該遮罩圖案作為一蝕刻遮罩,將該多晶矽層圖案化成一犧牲閘電極,其中:該犧牲閘電極於該鰭片結構的一頂部的一位準之上具有一狹窄部分,使得該犧牲閘電極的一寬度減小,具有一局部最小值,然後往該鰭片結構的該頂部增加。
  2. 如請求項1所述之方法,其中:該犧牲閘電極包含一下部,該下部於該鰭片結構的該頂部的該位準之下,且於該隔離絕緣層的一上表面之上,以及該下部具有一倒置紡錘的形狀。
  3. 如請求項1所述之方法,其中:該圖案化該多晶矽層包含使用HBr氣體和Cl2氣體的一電漿乾蝕刻,以及改變HBr/Cl2的一氣體比率以形成該狹窄部分。
  4. 如請求項1所述之方法,更包含:形成複數個閘極側壁間隔物;形成一源極/汲極結構,該源極/汲極結構包含一磊晶半導體層;形成一層間介電層;移除該犧牲閘電極和該犧牲閘極介電層;形成一閘極介電層和一閘電極層,該閘電極層包含一個或多個導電材料層;凹陷該閘極介電層和該閘電極層;以及形成一絕緣帽層於該凹陷的閘極介電層和該凹陷的閘電極層之上。
  5. 一種半導體元件的製造方法,包含:形成一鰭片結構,該鰭片結構從設置於一基板之上的一隔離絕緣層突出;形成一第一犧牲閘極結構和一第二犧牲閘極結構於該鰭片結構之上;形成複數個閘極側壁間隔物於該第一犧牲閘電極和該第二犧牲閘電極的每個側壁上;形成一層間介電層;通過移除該第一犧牲閘極結構來形成一第一閘極空間,且通過移除該第二犧牲閘極結構來形成一第二閘極空間;形成一閘極介電層於該第一閘極空間和該第二閘極空間中; 在該第一閘極空間中的該閘極介電層之上形成包含一個或多個導電材料層的一第一閘電極層,且在該第二閘極空間中的該閘極介電層之上形成包含一個或多個導電材料層的一第二閘電極層;凹陷該閘極介電層和該第一閘電極層,且凹陷該閘極介電層和該第二閘電極層;以及形成一第一絕緣帽層於該凹陷的閘極介電層和該第一凹陷的閘電極層之上,且形成一第二絕緣帽層於該凹陷的閘極介電層和該第二凹陷的閘電極層之上,其中該第一閘極空間和該第二閘極空間的每一者在該鰭片結構的一頂部的一位準之上具有一狹窄部分,使得該第一閘極空間和該第二閘極空間的每一者的一寬度減小,具有一局部最小值,然後往該鰭片結構的該頂部增加。
  6. 如請求項5所述之方法,該第一凹陷的閘電極層的一高度與該第二凹陷的閘電極層的一高度之差在0.1nm至2nm的範圍內。
  7. 如請求項5所述之方法,其中在該鰭片結構的該頂部的該位準處之該第一閘極空間和該第二閘極空間的每一者的一寬度W1以及該局部最小值的一寬度W2滿足0.6
    Figure 110122765-A0305-02-0037-12
    W2/W1
    Figure 110122765-A0305-02-0037-11
    0.8。
  8. 如請求項5所述之方法,其中: 該第一犧牲閘電極和該第二犧牲閘電極的每一者包含一下部,該下部於該鰭片結構的該頂部的該位準之下且於該隔離絕緣層的一上表面之上,以及該下部具有一倒置紡錘的形狀。
  9. 一種半導體元件,包含:一鰭片結構,該鰭片結構從設置於一基板之上的一隔離絕緣層突出,且該鰭片結構具有一通道區域;一源極/汲極區域設置於該基板之上;一閘極介電層設置於該通道區域上;以及一閘電極層設置於該閘極介電層上,其中:該閘電極包含一上部和一下部,該上部於該通道區域的一頂部的一位準之上,該下部於該通道區域的該頂部的該位準之下且於該隔離絕緣層的一上表面之上,以及該上部的一寬度從該鰭片結構的該頂部減少。
  10. 如請求項9所述之半導體元件,其中從該鰭片結構的該頂部的該位準的該上部的一高度H1以及從該隔離絕緣層該下部的一高度H2滿足0.1
    Figure 110122765-A0305-02-0038-13
    H1/H2
    Figure 110122765-A0305-02-0038-14
    0.7。
TW110122765A 2021-04-23 2021-06-22 半導體元件及其製造方法 TWI762358B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/239,225 2021-04-23
US17/239,225 US11824103B2 (en) 2021-04-23 2021-04-23 Method of manufacturing a semiconductor device and a semiconductor device

Publications (2)

Publication Number Publication Date
TWI762358B true TWI762358B (zh) 2022-04-21
TW202243005A TW202243005A (zh) 2022-11-01

Family

ID=82199089

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110122765A TWI762358B (zh) 2021-04-23 2021-06-22 半導體元件及其製造方法

Country Status (3)

Country Link
US (2) US11824103B2 (zh)
CN (1) CN114975272A (zh)
TW (1) TWI762358B (zh)

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080230832A1 (en) * 2007-03-19 2008-09-25 Hynix Semiconductor Inc. Transistor and method for fabricating the same
US20170133376A1 (en) * 2014-03-24 2017-05-11 Intel Corporation Fin sculpting and cladding during replacement gate process for transistor channel applications
TW201824447A (zh) * 2016-12-15 2018-07-01 台灣積體電路製造股份有限公司 具有低介電常數間隔物之半導體結構及其製造方法

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102125749B1 (ko) * 2013-12-27 2020-07-09 삼성전자 주식회사 반도체 장치 및 이의 제조 방법
KR102287398B1 (ko) * 2015-01-14 2021-08-06 삼성전자주식회사 반도체 장치
US10262870B2 (en) * 2015-07-02 2019-04-16 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device structure and method for forming the same
US10269651B2 (en) * 2015-07-02 2019-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device structure and method for forming the same
KR102292812B1 (ko) * 2015-08-18 2021-08-23 삼성전자주식회사 반도체 장치
KR102292813B1 (ko) * 2015-10-14 2021-08-23 삼성전자주식회사 반도체 장치 및 이의 제조 방법
KR20170047953A (ko) * 2015-10-26 2017-05-08 삼성전자주식회사 반도체 장치 및 그 제조 방법
KR102303300B1 (ko) * 2017-08-04 2021-09-16 삼성전자주식회사 반도체 장치
US10879393B2 (en) * 2018-08-14 2020-12-29 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of fabricating semiconductor devices having gate structure with bent sidewalls
US11984478B2 (en) * 2020-08-14 2024-05-14 Taiwan Semiconductor Manufacturing Co., Ltd. Forming source and drain features in semiconductor devices

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080230832A1 (en) * 2007-03-19 2008-09-25 Hynix Semiconductor Inc. Transistor and method for fabricating the same
US20170133376A1 (en) * 2014-03-24 2017-05-11 Intel Corporation Fin sculpting and cladding during replacement gate process for transistor channel applications
TW201824447A (zh) * 2016-12-15 2018-07-01 台灣積體電路製造股份有限公司 具有低介電常數間隔物之半導體結構及其製造方法

Also Published As

Publication number Publication date
US20220344497A1 (en) 2022-10-27
US11824103B2 (en) 2023-11-21
CN114975272A (zh) 2022-08-30
US20230378327A1 (en) 2023-11-23
TW202243005A (zh) 2022-11-01

Similar Documents

Publication Publication Date Title
US20210265464A1 (en) Semiconductor device and manufacturing method thereof
TWI726365B (zh) 半導體元件及其製造方法
US10964798B2 (en) Semiconductor device and method of manufacturing the same
TWI683355B (zh) 半導體裝置的製造方法及半導體裝置
KR102045356B1 (ko) 반도체 장치 제조 방법 및 반도체 장치
TW201917780A (zh) 半導體裝置的製造方法
KR20200066224A (ko) 반도체 디바이스의 제조 방법 및 반도체 디바이스
TW202211308A (zh) 半導體元件的製造方法
US20220130993A1 (en) Method of manufacturing a semiconductor device and a semiconductor device
US11742404B2 (en) Method of manufacturing a semiconductor device and a semiconductor device
TW202201506A (zh) 半導體裝置及其製造方法
TWI765678B (zh) 半導體裝置與其製作方法
TWI762358B (zh) 半導體元件及其製造方法
TW202213642A (zh) 半導體裝置及其製造方法
TW202201545A (zh) 半導體裝置及其製造方法
CN220172136U (zh) 半导体装置
US20240170339A1 (en) Method of manufacturing a semiconductor device and a semiconductor device
US20240088223A1 (en) Method of manufacturing semiconductor devices and semiconductor devices
TW202347517A (zh) 半導體裝置及其製造方法
TW202320145A (zh) 半導體裝置及其製造方法