TW202211308A - 半導體元件的製造方法 - Google Patents

半導體元件的製造方法 Download PDF

Info

Publication number
TW202211308A
TW202211308A TW109142960A TW109142960A TW202211308A TW 202211308 A TW202211308 A TW 202211308A TW 109142960 A TW109142960 A TW 109142960A TW 109142960 A TW109142960 A TW 109142960A TW 202211308 A TW202211308 A TW 202211308A
Authority
TW
Taiwan
Prior art keywords
layer
gate
nitrogen
tungsten
fluorine
Prior art date
Application number
TW109142960A
Other languages
English (en)
Inventor
沙哈吉B 摩爾
錢德拉謝卡爾 P 薩萬特
蔡俊雄
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202211308A publication Critical patent/TW202211308A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26586Bombardment with radiation with high-energy radiation producing ion implantation characterised by the angle between the ion beam and the crystal planes or the main crystal surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3215Doping the layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/764Air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76856After-treatment introducing at least one additional element into the layer by treatment in plasmas or gaseous environments, e.g. nitriding a refractory metal liner
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76859After-treatment introducing at least one additional element into the layer by ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Composite Materials (AREA)
  • Materials Engineering (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

在製造半導體元件的方法中,在閘極空間中的通道區域上方形成閘極介電層、在閘極介電層上方形成一或多個導電層、在一或多個導電層上方形成種晶層、藉由導入選自由氧、氮以及氟的一或多個元素來處理種晶層的上部位,並且鎢層以自下而上的填充方法來選擇性地形成在未處理之種晶層之下部位以完全填充閘極空間。

Description

半導體元件及其製造方法
隨著半導體產業為了追求更高的元件密度、更高的性能以及更低的成本而進入奈米技術製程的節點。製造以及設計問題的挑戰都導致了三維設計的發展,像是多閘極場效電晶體(FET),包括鰭式場效電晶體(FinFET)以及環繞閘極(GAA)場效電晶體。在場效電晶體中,閘極電極與通道區域的三個側面相鄰,並且閘極介電層安插在其間。鰭式場效電晶體的閘極電極包含藉由閘極置換技術形成的一或多層金屬材料。
應當理解,以下揭露提供了用於實現本揭露的不同特徵的許多不同的實施方式或實施例。以簡化本揭露,特定部件和佈置的實施方式或實施例敘述於下。當然,這些僅是實施例,而無意於進行限制。舉例來說,元件的大小不限於所公開的範圍或值,而是可以取決於製程條件和/或裝置的期望特性。除此之外,在下面的描述中於第二特徵之上或上方的第一特徵的形成可以包含第一和第二特徵直接接觸形成的實施方式,並且還可以包含其中可以形成附加第一特徵以及第二特徵的實施方式,使得第一特徵和第二特徵可以不直接接觸。為了簡單和清楚起見,可以以不同比例任意繪製各種特徵。
此外,為了便於描述,如圖式中所繪示之一個元件或特徵與另一個元件或特徵之間的關係,在此可使用空間上的相對術語,諸如「之下(beneath)」、「下方(below)」、「低於(lower)」、「之上(above)」、和「高於(upper)」等。除了涵蓋圖式中繪示之方向,空間上的相對術語旨在涵蓋裝置在使用中或操作中的不同方向。設備可有其他方向(旋轉90度或位於其他方向),並且此處所使用之空間上的相對術語也可同樣地對照解釋。除此之外,術語「由…製成」的意思可為「包含」或「由…組成」。
在閘極替代技術中,包含犧牲閘極電極(例如:由多晶矽製成)的犧牲閘極結構首先形成在通道區域上方並隨後被金屬閘極結構替代。在金屬閘極鰭式場效電晶體中,元件性能受金屬閘極輪廓(形狀)設計的影響,並且金屬閘極輪廓通常取決於犧牲閘極電極的輪廓。在一些鰭式場效電晶體器件中,在閘極替代製程形成金屬閘極結構之後,金屬閘極結構的上部位凹入並且在凹入的閘極結構上方形成上蓋絕緣層以確保在金屬閘極電極與相鄰的導電觸點之間的隔離區域。此外,在先進的鰭式場效電晶體元件中,具有不同閾值電壓的各種FET(n通道以及p通道場效電晶體)被製造於一個元件中,並且FET可以具有不同的金屬(例如,功函數調節金屬)結構。閘極凹陷蝕刻以形成閘極蓋可能會受到金屬結構的影響,並且無論金屬結構如何,都期望將金屬閘極結構凹入至期望的水平面。在本揭露中提供了一種透過調整犧牲閘極電極的輪廓(形狀)來控制凹陷的金屬閘極結構的高度之方法。
第1圖至第16圖所示為根據本揭露實施方式之用於製造場效電晶體元件的順序製程。應該了解,對於此方法的附加實施方式,可以在第1圖以及第2圖所示的製程之前、之中以及之後提供附加的操作。對於第1圖至第16圖的方法之其他實施方式,並且下述的一些操作可以被替代或消除。操作/製程的順序可以互換。
如第1圖所示,將摻雜物12(雜質離子)植入矽基材10中以形成井區。為了防止衝穿效應而執行離子植入。
在一個實施方式中,基材10包含至少在其表面部位上之單晶半導體層。基材10可以包含單晶半導體材料,例如但不限於Si、Ge、SiGe、GaAs、InSb、GaP、GaSb、InAlAs、InGaAs、GaSbP、GaAsSb以及InP。在該實施方式中,基材10由Si製成。
基材10可在其表面區域中包含一或多個緩衝層(未示出)。緩衝層可以用來將晶格常數從基材的晶格常數逐漸改變為源極/汲極區域的晶格常數。緩衝層可以由磊晶生長的單晶半導體材料形成,例如但不限於Si、Ge、GeSn、SiGe、GaAs、InSb、GaP、GaSb、InAlAs、InGaAs、GaSbP、GaAsSb、GaN、GaP以及InP。在特定實施方式中,基材10包含磊晶生長在基材10上的矽鍺(SiGe)緩衝層。SiGe緩衝層的鍺濃度可以從最底緩衝層的鍺的30原子%增加至最頂緩衝層的鍺的70原子%。
基材10可包含已經適當地摻有雜質(例如:p型或n型導電性)的各種區域。摻雜物12例如對於n型鰭式場效電晶體是硼(BF2 ),對於p型鰭式場效電晶體是磷。
參考第2圖,在基材10上方形成光罩層15。在一些實施方式中,光罩層15包含第一光罩層15A以及第二光罩層15B。在一些實施方式中,第一光罩層15A由氮化矽製成,第二光罩層15B由氧化矽製成。在其他實施方式中,第一光罩層15A由氧化矽製成,第二光罩層15B由氮化矽(SiN)製成。第一光罩層15A和第二光罩層15B透過包含低壓CVD(LPCVD)以及電漿增強CVD(PECVD)的化學氣相沉積(CVD)、物理氣相沉積(PVD)、原子層沉積(ALD)或其他適合的製程形成。透過使用包含光微影以及蝕刻的圖案化操作將光罩層15圖案化為光罩圖案。
接著,參考第3圖,通過使用圖案化的光罩層15將基材10圖案化為在X方向上延伸的鰭狀結構25。如第3圖所示,兩個鰭狀結構25佈置於Y方向上。然而,鰭狀結構25的數量不限於兩個,並且可以少至一個以及三個或更多。在一些實施方式中,在鰭狀結構25的兩側上形成一或多個虛擬鰭狀結構以在圖案化操作中提升圖案保真度。
鰭狀結構25可透過任何適合的方法來圖案化。舉例來說,可使用一或多種光微影製程來圖案化鰭狀結構,所述光微影製程包含雙圖案化製程或多圖案化製程。一般來說,雙重圖案化或多重圖案化製程將光微影以及自動對準過程相結合,並允許創建具有像是間距小於使用單個直接光刻微影製程可獲得的間距之圖案。舉例來說,在一個實施方式中,在基材10上方形成犧牲層,並使用光微影製程對其進行圖案化。使用自動對準製程在圖案化的犧牲層旁邊形成間隔。然後移除犧牲層,然後可以使用剩餘的間隔來圖案化鰭狀結構。
在形成鰭狀結構之後,在基材10上方形成包含一或多層絕緣材料的絕緣材料層,使得鰭狀結構完全嵌入在絕緣層中。用於絕緣層的絕緣材料可包含藉由LPCVD(低壓化學氣相沉積)、等離子CVD或可流動CVD形成的矽氧化物、矽氮化物、氮氧化矽(SiON)、SiOCN、SiCN、摻氟矽酸鹽玻璃(FSG)或低k電介質材料。可以在形成絕緣層之後執行退火操作。然後,執行諸如化學機械拋光(CMP)方法和/或回蝕方法的平坦化操作,以使得最上第二半導體層25的上表面從如第4圖所示的隔離絕緣層30暴露。
在一些實施方式中,在形成如第4圖所示的絕緣材料層40之前,在第3圖的結構上方形成一或多個襯層22。襯層22包含矽氮化物、SiON、SiCN、SiOCN以及氧化矽中的一或多種。
然後,如第5圖所示,隔離絕緣層30凹入以形成使暴露鰭狀結構20的上部位之隔離絕緣層30。透過該操作,鰭狀結構25藉由隔離絕緣層30彼此電隔離,該隔離絕緣層30也被稱為淺溝渠隔離(STI)。鰭狀結構的下部位11嵌入隔離絕緣層30中。
在形成隔離絕緣層30之後,形成如第6圖所示之犧牲閘極介電層42。犧牲閘極介電層42包含一或多層絕緣材料,例如基於矽氧化物的材料。在一實施方式中,使用透過CVD形成的矽氧化物。在一些實施方式中,犧牲閘極介電層42的厚度在約1 nm至約5 nm的範圍內。
第7圖所示為在暴露的鰭狀結構25上方形成犧牲閘極結構40之後的結構。犧牲閘極結構包含犧牲閘極電極44以及犧牲閘極介電層42。犧牲閘極結構40形成在鰭狀結構的一部位上方,這將成為一個通道區域。犧牲閘極結構40由首先在鰭狀結構上方包覆層沉積犧牲閘極介電層42而形成的。然後將犧牲閘極電極層包覆層沉積在犧牲閘極介電層上和鰭狀結構上方,使得鰭狀結構完全嵌入至犧牲閘極電極層中。犧牲閘極電極層包含矽,像是多晶矽或非晶矽之類的。在一些實施方式中,對犧牲閘極電極層進行平坦化操作。使用包含LPCVD以及PECVD、PVD、ALD或其他適合的製程的CVD來沉積犧牲閘極介電層和犧牲閘極電極層。隨後,在犧牲閘極電極層上方形成光罩層。光罩層包含平板狀矽氮化物層46以及矽氧化物光罩層48。
接著,如第4圖所示,在光罩層上執行圖案化操作,並且將犧牲閘極電極層圖案化為如第7圖所示犧牲閘極結構40。下面將更詳細地說明犧牲閘極結構40的圖案化操作。
在一些實施方式中,犧牲閘極結構40包含犧牲閘極介電層42、犧牲閘極電極層44(例如:多晶矽)、平板狀矽氮化物層46以及矽氧化物光罩層48。透過圖案化犧牲閘極結構40,鰭狀結構20的上部位在犧牲閘極結構40的相反兩側上局部地暴露,從而界定了如第7圖所示的源極/汲極區域。在本揭露中,源極和汲極可互換使用,並且其結構實質上相同。在第7圖中,形成一個犧牲閘極結構,但是該犧牲閘極結構的數量不限於一個。在一些實施方式中,兩個或更多個犧牲閘極結構佈置在X方向上。在某些實施方式中,在犧牲閘極結構的兩側上形成一或多個虛擬犧牲閘極結構以提升圖案保真度。
在形成犧牲閘極結構40之後,如第8圖所示,透過使用CVD或其他適合的方法共形地形成用於側壁間隔物45的絕緣材料之包覆層45。以保形的方式沉積包覆層45,使得其形成為在垂直表面,像是犧牲閘極結構的側壁、水平表面以及頂部上具有實質上相等的厚度。在一些實施方式中,包覆層45沉積的厚度在約2 nm至約10 nm的範圍內。在一個實施方式中,包覆層45的絕緣材料是基於矽氮化物的材料,像是SiN、SiON、SiOCN或SiCN及其組合。
此外,如第9圖所示,在犧牲閘極結構的兩相反側壁上形成側壁間隔物45,隨後,源極/汲極區域的鰭狀結構於隔離絕緣層30的上表面下方向下凹入。在形成包覆層45之後,執行非均向性蝕刻在包覆層45上,舉例來說,使用反應離子蝕刻(RIE)。在非均向性蝕刻製程期間,大部分絕緣材料從水平表面上移除,從而在像是犧牲閘極結構的側壁以及暴露的鰭狀結構的側壁之類的垂直表面上留下介電間隔層。光罩層48可以從側壁間隔物暴露。在一些實施方式中,可以隨後執行均向性蝕刻以從暴露的鰭狀結構25的源極/汲極區域的上部移除絕緣材料。
隨後,通過使用乾式蝕刻和/或濕式蝕刻,源極/汲極區域的鰭狀結構在隔離絕緣層30的上表面下方向下凹陷。如第9圖所示,形成在暴露的鰭狀結構(鰭側壁)的源極/汲極區域上的側壁間隔物45部分保留。然而,在其他實施方式中,形成在暴露的鰭狀結構的源極/汲極區域上的側壁間隔物45被完全移除。
隨後,如第10圖所示,形成源極/汲極磊晶層50。源極/汲極磊晶層50包含用於n通道場效電晶體的Si、SiP、SiC以及SiCP或用於p通道場效電晶體的Si、SiGe、Ge、GeSn以及SiGeSn中的一或多層。透過使用CVD、ALD或分子束磊晶(MBE)的磊晶生長方法來形成源極/汲極磊晶層50。
如第10圖所示,源極/汲極磊晶層分別從凹陷的鰭狀結構生長。在一些實施方式中,生長的磊晶層在隔離絕緣層上方合併並形成空洞52。
隨後,如第11圖所示,形成絕緣襯層60作為蝕刻停止層,然後形成層間介電層65。絕緣襯層60由像是SiN的矽氮化物為基礎的材料製成,並且在隨後的蝕刻操作中用作接觸蝕刻停止層。用於層間介電層65的材料包含像是Si、O、C和/或H的化合物,像是矽氧化物、SiCOH以及SiOC。可以將像是聚合物的有機材料用於層間介電層65。在形成層間介電層65之後,執行像是CMP的平坦化操作,從而暴露出如第11圖的犧牲閘極電極層44的頂部位。
接著,如第12圖所示,移除犧牲閘極電極層44以及犧牲閘極介電層42,從而在閘極空間49中暴露鰭狀結構。層間介電層65在移除犧牲閘極結構過程中保護源極/汲極磊晶層50。可以使用電漿乾式蝕刻和/或濕式蝕刻來移除犧牲閘極結構。當犧牲閘極電極層44是多晶矽並且層間介電層65是矽氧化物時,可以使用像是TMAH溶液的濕式蝕刻劑來選擇性地移除犧牲閘極電極層54。此後,使用電漿乾式蝕刻和/或濕式蝕刻移除犧牲閘極介電層42。
如第13圖所示,在移除犧牲閘極結構之後,在暴露的鰭狀結構20周圍形成閘極介電層102,並且在閘極介電層102上形成閘極電極層104。
在某些實施方式中,閘極介電層102包含一或多層介電材料,像是矽氧化物、矽氮化物或高k介電材料、其他適合的介電材料和/或其組合。高k介電材料的實施例包括HfO2、HfSiO、HfSiON、HfTaO、HfTiO、HfZrO、氧化鋯、氧化鋁、氧化鈦、二氧化鉿-氧化鋁(HfO2 -Al2 O3 )合金、其他適合的高k介電材料和/或其組合。在一些實施方式中,閘極介電層102包含形成在通道層以及介電材料之間的界面層。
閘極介電層102可透過CVD、ALD或任何適合的方法形成。在一實施例中,閘極介電層102是使用像是ALD的高度共形之沉積製程形成的,以確保在通道區域上形成具有一致厚度的閘極介電層。在一個實施方式中,閘極介電層102的厚度在約1 nm至約6 nm的範圍內。
閘極電極層104形成於閘極介電層102上。閘極電極層104包含一或多層導電材料,像是多晶矽、鋁、銅、鈦、鉭、鎢、鈷、鉬、氮化鉭、矽化鎳、矽化鈷、TiN、WN、TiAl、TiAlC、TiAlN、TaCN、TaC、TaSiN、金屬合金、其他適合的材料和/或其組合。
閘極電極層104可以透過CVD、ALD、電鍍或其他適合的方法來形成。閘極電極層也沉積在層間介電層65的上表面上方。透過使用像是CMP來平坦化閘極介電層以及形成於層間介電層65上方的閘極電極層,直到層間介電層65的頂面顯露為止。
在平坦化操作之後,如第13圖所示,使閘極電極層104凹陷並且上蓋絕緣層111形成於凹陷的閘極電極104上方。上蓋絕緣層包含一或多層以矽氮化物為基礎的材料,像是SiN。可以透過沉積絕緣材料再進行平坦化操作來形成上蓋絕緣層111。
在本揭露的某些實施方式中,在閘極介電層102以及閘極電極104之間插入一或多個功函數調整層(未示出)。功函數調整層由導電材料製成,像是單層的TiN、TaN、TaAlC、TiC、TaC、Co、Al、TiAl、HfTi、TiSi、TaSi或TiAlC,或兩種或多種這些材料的多層。對於n通道場效電晶體,將TaN、TaAlC、TiN、TiC、Co、TiAl、HfTi、TiSi以及TaSi中的一或多種用作功函數調節層,對於p通道場效電晶體,將TiAlC、鋁、TiAl、TaN、TaAlC、TiN、WN、WCN、Ru、TiC以及Co的一種或多種用作功函數調整層。功函數調整層可以透過ALD、PVD、CVD、電子束蒸發或其他適合的製程形成。此外,功函數調整層可針對能使用不同金屬層的n通道場效電晶體以及p通道場效電晶體分別形成。
隨後,如第14圖所示,透過使用乾式蝕刻在層間介電層65中形成接觸孔110。在一些實施方式中,源極/汲極磊晶層50的上部位有經過蝕刻。
如第15圖所示,在源極/汲極磊晶層50上方形成矽化物層120。矽化物層包含WSi、CoSi、NiSi、TiSi、MoSi以及TaSi中的一或多種。然後,導電材料130形成於如第16圖所示的接觸孔中。導電材料130包含Co、Ni、W、Ti、Ta、Cu、Al、TiN以及TaN中的一或多種。
應當了解,鰭式場效電晶體經過進一步的CMOS製程以形成各種特徵,像是接觸/連通柱、互連金屬層、介電層、鈍化層等。
第17A圖至第21C圖所示為根據本揭露一實施方式之用於閘極替代操作的順序過程。應當理解,可以在第17A圖至第21C圖所示的製程之前、之中以及之後提供附加的操作,並且下述該方法的一些附加實施方式的操作可以被替代或消除。操作/製程的順序可以互換。
第17A圖至第17D圖所示為在移除犧牲閘極結構(犧牲閘極電極44以及犧牲閘極介電層42)之後從而形成如第12圖中所述的閘極空間49之各種視圖。第17A圖是沿著第17D圖(俯視圖或投影圖)的線X1-X1之剖面圖,第17B圖是沿著第17D圖的線Y1-Y1之剖面圖以及第17C圖是沿著第17D圖的線Y2-Y2之剖面圖。在一些實施方式中,附加介電層66形成於層間介電層65上方。在一些實施方式中,附加介電層66包含矽氮化物。
然後,如第18A圖至第18C圖,在鰭狀結構20的通道區域上形成界面層101,並且在界面層和閘極側壁間隔物45的內壁上方形成閘極介電層102。然後,一或多個功函數調節層103形成於閘極介電層102,並且體金屬閘極電極層107形成於功函數調整層103上方。在一些實施方式中,黏著層形成於功函數調整層以體金屬閘極電極層107之間。在一些實施方式中,第18A圖至第18C圖所示的鰭式場效電晶體是n型FET,並且功函數調整層103是n型功函數調整層(例如:TiAl或TiAlC)。
此外,如第19A圖至第19C圖所示,包含金屬閘極電極104以及閘極介電層102之金屬閘極結構向下凹陷至期望的水平面,從而形成閘極凹陷空間,並且該閘極凹陷空間填充有絕緣材料(上蓋絕緣層111)。在一些實施方式中,閘極上蓋絕緣層111包含矽氮化物、SiON和/或SiOCN或任何其他適合的材料。
第20A圖至第20C圖以及第21A圖至第21C圖為對於p型場效電晶體的例子。在一些實施方式中,一或多個p型功函數調整層105(例如:Ti或TiN)於閘極介電層102上形成,並且n型功函數調整層103可選擇地在p型功函數調整層105上形成。在一些實施方式中,黏著層在功函數調整層以及體金屬閘極電極層107之間形成。
隨著元件尺寸減小,閘極空間的寬度也變小。當在閘極空間中形成多層時,可以在金屬閘極結構中形成空洞或縫。當形成這樣的空洞或縫時,可能發生各種問題。舉例來說,在形成金屬閘極結構之後,將金屬閘極結構切割(劃分)為用於各個場效電晶體的金屬閘極結構件。在這種情況下,蝕刻(乾式和/或濕式蝕刻)中使用的化學品,像是KOH,HCl,HF和/或NH4 OH,會穿透空洞,從而導致金屬閘極結構的一層或多層薄膜發生不希望發生的氧化或污染。除此之外,在隨後的CMP製程和/或清潔製程中使用的一種或多種化學物質也穿透空洞或縫。此外,通道區域(Si fin)也可能被化學物質破壞,從而引起表面破壞缺陷。據此,需要一種能夠避免產生空洞和/或縫的金屬閘極結構的方法。
第22圖、第23圖、第24圖、第25圖、第26圖、第27圖、第28A圖以及第28B圖所示為根據本揭露之一實施方式的用於金屬閘極形成操作的順序製程的各個階段。應該理解的是,可以在第22圖至第28B圖所示的製程之前、之中以及之後提供附加的操作,並且對於本方法之附加實施方式的下述之一些操作可以被替換或消除。操作/製程的順序可以互換。關於前述實施方式說明的材料、製程、方法、配置和/或尺寸可應用於以下實施方式,並且可以省略其詳細描述。
如第22圖所示,在由閘極側壁間隔物45界定的閘極空間中的閘極介電層102上方形成一個或多個功函數調整層103以及105。在一些實施方式中,舉例來說,由化學形成的矽氧化物製成的界面層101,形成於鰭狀結構20的通道區域上。在形成功函數調節層之後,形成黏著層106。在一些實施方式中,黏著層106包含厚度為約2 nm至約100 nm的TiN。在一些實施方式中,所沉積的TiN層的Ti/N原子比值為約0.8至1.0。在一些實施方式中,如第22圖所示,閘極介電層、功函數調節層以及黏著層中的一個或多個非共形地形成於閘極空間中,因此,在形成黏著層106之後,形成橢圓形或縫狀的空間留在閘極空間中。在一些實施方式中,間隔物45不是完全垂直的,因此,具有凸起或凹入形狀的這種彎曲的間隔件45(未示出),導致在一個或多個閘極介電層、功函數調整層以及黏著層共形或非共形地沉積在閘極空間中之後,在閘極空間中形成橢圓形或縫狀的空間。在其他實施方式中,黏著層106包含TaN、TiSiN和/或Co。在一些實施方式中,在形成黏著層106之前形成包含TiN或TaN的阻障層。
然後,如第23圖所示,在黏著層106上形成用於隨後的鎢層的種晶層108(成核層)。在一些實施方式中,所沉積的種晶層包含透過CVD或ALD形成的鎢。在一些實施方式中,種晶層是純(非摻雜)鎢層,含(摻雜)硼的鎢層或含矽的鎢層或含矽和硼的鎢層。用於沉積的來源氣體包含WCl5 或WF6 中的一種或多種,矽源氣體(例如:SiH4 、Si2 H6 和/或SiH2 Cl2 等)中的一種或多種以及硼源氣體(例如:B2 H6 )。在一些實施方式中,種晶層108的厚度在約0.5 nm至約10 nm的範圍內,並且在其他實施方式中在約1 nm至約5 nm的範圍內。
在形成種晶層108之後,透過一種或多種離子植入製程,電漿處理或自由基處理將種晶層108的上部位轉換為經處理之種晶層109。透過處理115,種晶層的成核特性降低以具有比種晶層108的未處理部位更低的沉積速率。
在一些實施方式中,經處理之種晶層109通過一種或多種離子植入製程形成以引入氮、氧或氟的一種或多種離子。在一些實施方式中,離子植入製程利用傾斜的離子植入,使得離子不到達種晶層108的底部。在一些實施方式中,離子被植入位於側壁間隔物45以及實質上垂直的種晶層108之側部位以上之沉積於黏著層106上表面上的上水平部位。在一些實施方式中,以基材的法線為基準,將離子植入的傾斜角調整在約10度至約70度之間。在其他實施方式中,範圍從約30度至約60度。如果傾斜角小於這些範圍,則離子可能到達閘極空間的底部。如果傾斜角大於這些範圍,則僅有種晶層的上部位可經處理,並且隨後的鎢生長可能不期望地發生在較高的位置處,從而導致空洞或縫。在一些實施方式中,離子也被植入到黏著層106的上表面以形成經處理之黏著層106A。在一些實施方式中,植入能量在約100 keV至約20 keV的範圍內,並且在其他實施方式中在約1 keV至約10 keV的範圍內。在一些實施方式中,植入劑量在約1.0×1012 離子/cm2 以及約5×1015 離子/cm2 的範圍內。
在一些實施方式中,透過電漿處理形成經處理之種晶層109以導入氮、氧或氟的一個或多個離子或自由基。在一些實施方式中,電漿的來源氣體包含氧源氣體(例如:O2 )、氮源氣體(例如:N2 或NH3 )和/或氟源氣體(例如:CF4 、CH3 F、SF6 和/或NF3 )。在一些實施方式中,將離子植入位於側壁間隔物45以及種晶層108的垂直側部位以上之沉積在黏著層的上表面上的上部水平部位。在一些實施方式中,離子也導入上表面中。在一些實施方式中,以約0.2 kW至約10 kW的輸入功率執行電漿處理(例如:RF電漿、電容器耦合電漿、感應耦合電漿或微波電漿)。
在一些實施方式中,透過自由基處理形成經處理之種晶層109以導入氮、氧或氟的一個或多個中性自由基。在一些實施方式中,遠端電漿源與離子過濾器一起使用以產生中性自由基。在一些實施方式中,遠端電漿的來源氣體包含氧源氣體(例如:O2 )、氮源氣體(例如:N2 或NH3 )和/或氟源氣體(例如:CF4 、CH3 F、SF6 和/或NF3 )中的一種或多種。在一些實施方式中,將離子植入位於側壁間隔物45以及種晶層的上垂直側部位以上之沉積在黏著層的上表面上的上水平部位。在一些實施方式中,離子也被導入黏著層106的上表面中。
在處理之後,種晶層(經處理之種晶層109)的上部位是包含氧、氮和/或氟的鎢層,或者是包含矽和/或硼以及氧、氮和/或氟的鎢層,而底部位不含氧、氮和/或氟(少於可檢測到的量)。在一些實施方式中,經處理之種晶層109中的氧、氮和/或氟的濃度在從約2原子%到約56原子%的範圍內。在一些實施方式中,未處理的種晶層108的高度H2 是整個黏著層的垂直尺寸H1 (從底部到頂部)的約5%至約30%,如第24圖所示(意即經處理部位為H1 的約70%至約95%)。在其他實施方式中,高度H2 為高度H1 的約10%至約20%(意即經處理部位為H1的約80%至約90%)。
然後,在種晶層108以及經處理之種晶層109上形成像是鎢層(摻雜或無摻雜)的體金屬層107。如上所述,經處理之處理層109具有較低的鎢沉積成核性或沒有成核性,並且如第25圖所示以自下而上的方式從未處理的種晶層108選擇性地生長鎢層。如第26圖所示,鎢沉積繼續完全填充閘極空間的橢圓形空間。由於在橢圓形空間的頂部水平部位和角落部位抑制了鎢的生長,鎢層可以首先在底部部分選擇性地成核並沉積,因此鎢層可以完全填充橢圓形空間而不會形成空洞或縫。鎢層可使用WCl5 或WF6 中的一種或多種透過CVD或ALD形成。當使用WCl5 時,鎢層不含氟。
在一些實施方式中,如上所述,植入或導入的離子/自由基到達黏著層106的一部分以形成經處理之黏著層106A,從而改變經處理之黏著層106A之組成。在一些實施方式中,當未處理的黏著層106是TiN並且導入氮時,經處理之黏著層106A的Ti/N原子比值在約1.1至約2.0的範圍內,並且在其他實施例中在約1.3至約1.5的範圍內。
在形成體金屬層107之後,如第27圖所示,在閘極側壁間隔物45和層間介電層上方形成之閘極介電層102、功函數調整層103、105、黏著層106、經處理之種晶層109以及體金屬層107透過使用像是CMP來將閘極平坦化,直到顯露閘極側壁間隔物以及層間介電層的頂面。
在平坦化操作之後,如第28A圖以及第28B圖所示,閘極電介質層102、功函數調整層103、105、黏著層106、經處理之種晶層109以及體金屬層107凹陷,並上蓋絕緣層111形成於凹陷的閘極電極上方。第28A圖是截切過通道區域的剖面圖。第28B圖所示為隔離絕緣層30上方的剖面圖。上蓋絕緣層包含一層或多層基於矽氮化物的材料,像是SiN。上蓋絕緣層111可透過沉積絕緣材料再進行平坦化操作來形成。
在一些實施例中,如第28A圖中所示,經處理之種晶層109保留在上蓋絕緣層111下方。在一些實施方式中,經處理之種晶層109的垂直高度H3小於未處理種晶層108的垂直高度H2,並且在垂直高度H2的從約10%至約80%的範圍內。在其他實施方式中,垂直高度H3在垂直高度H2的約20%至約50%的範圍內。在其他實施方式中,垂直高度H3小於經處理之種晶層109以及未處理種晶層108之總垂直高度的約10%(大於零)。在某些實施方式中,沒有剩餘經處理之種晶層109。在一些實施方式中,在鰭狀結構20的通道區域之頂部以及未處理種晶層108之底部之間的距離H4在約10 nm至約30 nm的範圍內。
在一些實施方式中,如第28B圖所示,當經處理之種晶層109保留在上蓋絕緣層111下方時,經處理之種晶層109的垂直高度H3小於未處理種晶層108的垂直高度H5(最深距離),並且在垂直高度H5的從約5%至約70%的範圍內。在其他實施方式中,垂直高度H3在垂直高度H5的約10%至約40%的範圍內。在其他實施方式中,垂直高度H3小於垂直高度的約5%(大於零)。在某些實施方式中,沒有剩下經處理之種晶層109。在一些實施方式中,介於隔離絕緣層30之頂部以及未處理的種晶層108之底部之間的距離H6在約10 nm至約240 nm的範圍內。
第29A圖、第29B圖、第29C圖、第29D圖、第29E圖、第29F圖、第29G圖以及第29H圖所示為根據本揭露一種實施方式之用於製造半導體元件的順序製程的各個階段。應該理解的是,如第29A圖至第29H圖所示的製程之前、之中以及之後提供附加的操作,下述的一些操作可以被替換或消除。操作/製程的順序可以互換。關於前述實施方式說明的材料、製程、方法、配置和/或尺寸可應用於以下實施方式,並且可以省略其詳細敘述。在以下實施方式中,在形成金屬閘極結構之後,並無上蓋絕緣層形成。
第29A圖以及第29B圖所示為相似於第18A圖至第18C圖以及第20A圖至第20C圖形成用於閘極結構的導電層之後的結構。第29A圖是俯視圖,第29B圖所示為沿著第29A圖的線Y11-Y11(Y方向截切)以及線X11-X11和線X12-X12(X方向截切)的剖面圖。在一些實施方式中,如第29A圖以及第29B圖所示,閘極結構具有不同的閘極長度。在一些實施方式中,在多個鰭狀結構上方形成長閘極結構,隨後針對相對應的FET將其分成兩塊或更多塊。
如第29C圖所示,在閘極結構和層間介電層65上方形成蝕刻停止層(或CMP停止層)150,然後在蝕刻停止層150上方形成硬光罩層155。在一些實施方式中,蝕刻停止層150由厚度為約3 nm至約10 nm的TiN製成。並且硬光罩層155由厚度為約30 nm至約100 nm的矽氮化物製成。
然後,如第29D圖所示,硬光罩層155透過一個或多個光微影以及蝕刻操作來圖案化,以形成閘極切割的開口。
接下來,如第29E圖所示,將一個或多個長閘極結構切成小塊。隨後,如第29F圖所示形成填充介電層160再接著進行平坦化操作,像是CMP,以形成如第29G圖以及第29H圖之分離兩金屬閘極結構的分離栓160。在一些實施方式中,在CMP操作過程中,閘極結構也被蝕刻以減少高度。在一些實施方式中,從溝道鰭狀結構20的頂部到金屬閘極結構104在約10 nm至約30 nm的範圍內。
第30A圖、第30B圖、第31A圖、第31B圖、第32A圖以及第32B圖所示為根據本揭露一種實施方式之用於製造半導體元件的順序製程的各個階段。應該理解的是,可以在第30A圖至第32B圖所示的製程之前、之中以及之後提供附加的操作,並且對於該方法的另外的實施方式,下述的一些操作可以替換或消除。操作/製程的順序可以互換。關於前述實施方式說明的材料、製程、方法、配置和/或尺寸可應用於以下實施方式,並且可以省略其詳細敘述。在以下實施方式中,在形成金屬閘極結構之後,並無上蓋絕緣層形成。
第30A圖和第30B圖所示為如第29G圖以及第29H圖所示之經CMP操作之後的閘極結構之剖面圖。第30A圖所示為通道鰭狀結構20的剖面圖,而第30B圖所示為隔離絕緣層30上方的剖面圖。
如第31A圖以及第31B圖所示,蝕刻停止層165形成於閘極結構以及層間介電層65上方,然後第二層間介電層170形成於蝕刻停止層165上方。在一些實施方式中,蝕刻停止層165由矽氮化物製成。第二層間介電層170的厚度為約3 nm至約20 nm,並且第二層間介電層170由矽氧化物、SiOC、SiOCN或其他適合的材料製成。
然後,透過在閘極結構上的一種或多種光微影和蝕刻操作形成接觸開口,並且如第32A圖以及第32B圖所示,該接觸開口填充有導電材料180。在一些實施方式中,導電材料180包含Ni、Co、W、Cu、Al、Ru或Mo(非合金)中的一種或多種及其合金。
在一些實施方式中,如第32A圖以及第32B圖所示,經處理之種晶層109保留在導電接觸層180之下。在一些實施方式中,經處理之種晶層109的垂直高度H3'小於未處理種晶層108的垂直高度H2',並且在垂直高度H2'的約10%至約80%的範圍內。在其他實施方式中,垂直高度H3’在垂直高度H2’的約20%至約50%的範圍內。在其他實施方式中,垂直高度H3’小於經處理之種晶層109以及未處理種晶層108的總垂直高度的約10%(大於零)。在某些實施方式中,沒有剩餘經處理之種晶層109。在一些實施方式中,鰭狀結構20的通道區域之頂部以及未處理的種晶層108之底部之間的距離H4’在約10 nm至約30 nm的範圍內。
在一些實施方式中,如第32B圖所示,當經處理之種晶層109保留在導電接觸層180下方時,經處理之種晶層109的垂直高度H3'小於未處理種晶層108的垂直高度H5'(最深距離),並且在垂直高度H5'的約5%至約70%的範圍內。在其他實施方式中,垂直高度H3’在垂直高度H5’的約10%至約40%的範圍內。在其他實施方式中,垂直高度H3’小於垂直高度的約5%(大於零)。在某些實施方式中,不保留經處理之種晶層109。在一些實施方式中,隔離絕緣層30之頂部以及未處理的種晶層108之底部之間的距離H6’在約10 nm至約240 nm的範圍內。
本文敘述的各種實施方式或實施例提供了超過現有技術的若干優點。在本揭露的實施方式中,種晶層包含經處理的部位,並且鎢層選擇性地生長在未處理的種晶層上,這可以確保自下而上的填充並且避免或抑制金屬閘極結構中的空洞或縫。由於在金屬閘極結構中沒有形成縫或沒有空洞,因此可以避免或抑制在隨後製程(例如:CMP、蝕刻和/或清潔)中對鰭狀結構的通道區域的破壞。因此,在金屬閘極層中實質上沒有來自蝕刻或清潔或CMP化學品的污染物。
雖然前述實施方式敘述了鰭式場效電晶體,但是所揭露的技術可以應用於其他場效電晶體,像是平板狀場效電晶體以及環繞閘極(GAA)場效電晶體。
需理解的是,並非必須在本文中討論所有優點,對於所有實施方式或實施例不需要特定的優點,並且其他實施方式或實施例可以提供不同的優點。
根據本揭露的一個方面,在一種製造半導體元件的方法中,形成閘極介電層於閘極空間中之通道區域上方,形成一或多個導電層於閘極介電層上方,形成種晶層於一或多個導電層上方,藉由導入選自由氧、氮以及氟組成之群組中之一或多個元素來處理種晶層之上部位,並且形成鎢層在未處理之種晶層的下部位上以完全填充閘極空間。在一或多個前述和以下的實施方式中,沒有形成空隙或縫於間隔物之間之閘極填充間隙之鎢層中。在一或多個前述和以下的實施方式中,在處理中,執行一或多個離子注入以導入選自由氧、氮以及氟組成之群組之一或多個元素的離子至上部位。在一或多個前述和以下的實施方式中,在處理中,執行一或多個電漿處理以導入選自氧、氮以及氟組成之群組之一或多個元素的離子至上部位。在一或多個前述和以下的實施方式中,在處理中,執行一或多個自由基處理以導入選自由氧、氮以及氟組成之群組之一或多個元素之中性物質至上部位。在一或多個前述和以下的實施方式中,鎢層生長於對經處理之上部位有選擇性之下部位上。在一或多個前述和以下的實施方式中,下部位之高度為種晶層之總高度的10%至20%。在一個或多個前述和以下的實施例中,種晶層包含未摻雜的鎢層、含有矽的鎢層、含有硼的鎢層或含有硼以及矽之鎢層中之一者。
根據本揭露的另一方面,在一種製造半導體元件的方法中,形成有鰭狀結構。鰭狀結構的上部位從設置於基材上方之隔離絕緣層突出。在鰭狀結構上方形成犧牲閘極介電層。在犧牲閘極介電層上方形成犧牲閘極電極層。形成閘極側壁間隔物。形成層間介電層。移除犧牲閘極電極層以及犧牲閘極介電層,從而在暴露的鰭狀結構之上部位形成閘極空間。在閘極空間中之鰭狀結構之上部位上方形成閘極介電層。在閘極介電層上方形成一或多個功函數調整層。在一或多個功函數調整層上方形成黏著層。在黏著層上方形成種晶層。藉由導入一或多個選自由氧、氮以及氟組成之群組中之元素來處理種晶層之上部位。於未處理之種晶層之下部位上方形成體金屬層以完全填充閘極空間。在一或多個前述和以下的實施方式中,體金屬層係鎢層,並且種晶層包含未摻雜之鎢層、含有矽之鎢層、含有硼之鎢層或含有硼以及矽之鎢層中之一者。在一或多個前述和以下的實施方式中,經處理的上部位包含未摻雜之鎢層、含有矽之鎢層、含有硼之鎢層或含有硼以及矽之鎢層中之一者,更摻雜有選自由氧、氮以及氟組成之群組中之一或多個元素。在一個或多個前述和以下的實施方式中,下部位之高度為種晶層之總高度之10%至20%。在一個或多個前述和以下的實施方式中,在處理中,進行一或多個離子植入以導入選自氧、氮以及氟組成之群組中之一或多個元素之離子至上部位。在一個或多個前述和以下的實施方式中,在處理中,執行一或多個電漿處理以導入選自氧、氮以及氟組成之群組之一或多個元素之離子至上部位。在一個或多個前述和以下的實施方式中,在處理中,進行一或多個自由基處理以導入選自氧、氮以及氟之一或多個元素之中性物質至上部位。在一個或多個前述和以下的實施方式中,處理上部位包含導入選自氧、氮以及氟之一或多個元素至黏著層之一部分。在一個或多個前述和以下的實施方式中,黏著層包含TiN,氮被導入黏著層之一部分,並且黏著層之一部分之Ti/N比值為1.1至約2.0。在一或多個前述和以下的實施方式中,在對經處理之上部位有選擇性之下部位上生長鎢層。
根據本揭露的另一方面,在一種製造半導體元件的方法中,形成閘極介電層於閘極空間中之鰭狀結構之上部位上方,形成一或多個功函數調整層於閘極介電層上方,形成黏著層於一或多個功函數調整層上方,形成種晶層於黏著層上方,對種晶層之上部位進行處理以減少或不具有用於鎢沉積之成核性質,並且鎢層由未處理之種晶層之下部位形成以完全填充閘極空間。在一個或多個前述和以下的實施方式中,該處理包含導入選自氧、氮以及氟組成之群組中之一或多個元素至上部位。
根據本揭露的另一方面,一半導體元件包含從設置於基材上方之隔離絕緣層突出並具有通道區域之鰭狀結構、設置於基材上方的源極/汲極區域、設置於通道區域上以及閘極電極層設置於閘極介電層上的閘極介電層。閘極電極層包含在閘極介電層上方的一或多個功函數調整層、於一或多個功函數調整層上方的黏著層、於黏著層上方以及體金屬層於種晶層上的種晶層。種晶層之上部位比種晶層之下部位包含更多氧、氮以及氟中的一或多個。在一或多個前述和以下的實施方式中,種晶層包含未摻雜之鎢層、含有矽之鎢層、含有硼之鎢層或含有硼以及矽之鎢層中之一者。在一或多個前述和以下的實施方式中,在鰭狀結構以上,上部位之高度為下部位之高度之10%至50%。在一或多個前述和以下的實施方式中,半導體元件更包含設置於閘極電極層上的上蓋絕緣層以及設置於閘極電極層和上蓋絕緣層之兩相反側面上的閘極側壁間隔物。在一或多個前述和以下的實施方式中,上部位與上蓋絕緣層接觸。在一或多個前述和以下的實施方式中,體金屬層係鎢層。在一或多個前述和以下的實施方式中,鎢層不含氟。在一或多個前述和以下的實施方式中,黏著層之一部分比其餘部分具有更高濃度的一或多個氧、氮或氟。在一或多個前述和以下的實施方式中,黏著層包含TiN,並且黏著層之一部分具有比其餘部分更高的氮濃度。在一或多個前述和以下的實施方式中,黏著層之一部分之鈦氮原子比值在1.1至2.0之範圍內,而黏著層之其餘部分之鈦氮原子比值在0.8至1.0之範圍內。在一或多個前述和以下的實施方式中,半導體元件更包含設置於閘極電極層上的金屬化接觸層(W或Co或Ru)以及設置於閘極電極層的之相反兩側面上方的閘極側壁間隔物。在一或多個前述和以下的實施方式中,上部位與金屬化接觸層(W或Co或Ru)接觸。在一或多個前述和以下的實施方式中,半導體元件更包含金屬化接觸層(W或Co或Ru)以及設置於閘極電極層上的上蓋絕緣層。在一或多個前述和以下的實施方式中,上部位與金屬化接觸層(W或Co或Ru)接觸,並且也與上蓋絕緣層接觸。
根據本揭露的另一方面,一種半導體場效電晶體包含設置在通道區域上方的閘極介電層、設置在閘極介電層上方的閘極電極層、設置在閘極電極層上方的上蓋絕緣層以及設置在閘極電極層和上蓋絕緣層的兩相反側面上方的閘極側壁間隔物。閘極電極層包含在閘極介電層上方的一或多個功函數調整層、在一或多個功函數調整層上方的黏著層、在黏著層上方含有鎢的種晶層以及在種晶層上含有鎢的體金屬層。種晶層的一部分比種晶層的其餘部分包含更多的氧、氮或氟的一或多個。在一或多個前述和以下的實施方式中,種晶層的厚度在0.5 nm至10 nm的範圍內。在一或多個前述和以下的實施方式中,種晶層更包含硼或矽中的一或多個。在一或多個前述和以下的實施方式中,種晶層的一部分係含有氮之鎢層、含有硼以及氮之鎢層、含有矽以及氮之鎢層、含有氧之鎢層、含有硼以及氧之鎢層或含有矽以及氧之鎢層中之一者。在一或多個前述和以下的實施方式中,黏著層的一部分比黏著層的其餘部分具有更高濃度的一或多個氧、氮或氟。在一或多個前述和以下的實施方式中,黏著層包含TiN,並且黏著層的一部分具有比其餘部分更高的氮濃度。在一或多個前述和以下的實施方式中,黏著層的一部分之鈦氮原子比值在1.1至2.0的範圍內,而黏著層的其餘部分之鈦氮原子比值在0.8至1.0的範圍內。在一或多個前述和以下的實施方式中,體金屬層不含氟。
根據本揭露的另一方面,一種半導體場效電晶體包含設置在通道區域上的閘極介電層、設置在閘極介電層上的閘極電極層、設置在閘極電極層上的金屬化接觸層(W或Co或Ru)、設置在閘極電極層的兩相反側面上的閘極側壁間隔物以及設置在金屬化接觸層的兩相反側面上之上蓋絕緣層和第二層間介電質。在一或多個前述和以下的實施方式中,半導體元件更包含金屬化接觸層(W或Co或Ru)以及設置在閘極電極層上的上蓋絕緣層。在一或多個前述和以下的實施方式中,上部位與金屬化接觸層(W或Co或Ru)接觸,並且還部分地與上蓋絕緣層接觸。閘極電極層包含在閘極介電層上方的一或多個功函數調整層、在一或多個功函數調節層上方的黏著層、在黏著層上方包含鎢的種晶層以及在種晶層上含有鎢的體金屬層。種晶層的一部分比種晶層的其餘部分包含更多的氧、氮或氟的一或多個。在一或多個前述和以下的實施方式中,種晶層的厚度在0.5 nm至10 nm的範圍內。在一或多個前述和以下的實施方式中,種晶層更包含硼或矽中的一或多個。在一或多個前述和以下的實施方式中,種晶層的一部分為含有氮之鎢層、含有硼以及氮之鎢層、含有矽以及氮之鎢層、含有氧之鎢層、含有硼以及氧之鎢層或含有矽以及氧之鎢層中之一者。在一或多個前述和以下的實施方式中,黏著層的一部分比黏著層的其餘部分具有更高濃度的氧、氮或氟的一或多個。在一或多個前述和以下的實施方式中,黏著層包含TiN,並且黏著層的一部分具有比其餘部分更高的氮濃度。在一或多個前述和以下的實施方式中,黏著層的一部分的鈦氮原子比值在1.1至2.0的範圍內,而黏著層的其餘部分的鈦氮原子比值在0.8至1.0的範圍內。在一或多個前述和以下的實施方式中,體金屬層不含氟。
根據本揭露的另一方面,一半導體元件包含鰭狀結構、每個鰭狀結構從設置在基材上方的隔離絕緣層突出並且具有通道區域;設置在每個鰭狀結構的通道區域上之閘極介電層以及設置在閘極介電層上的閘極電極層。閘極電極層包含在閘極介電層上方的一或多個功函數調整層、在一或多個功函數調整層上方的黏著層、在黏著層上方的種晶層以及在種晶層上方的體金屬層。黏著層包含TiN、TaN、TiSiN或Co中的一或多個,並且黏著層的一部分比黏著層的剩餘部分包含更多氧、氮或氟中的一或多個。在一或多個前述和以下的實施方式中,黏著層的一部分與種晶層的一部分接觸。在一或多個前述和以下的實施方式中,種晶層的一部分比種晶層的其餘部分包含更多的氧、氮或氟的一或多個。
前述概述了幾個實施方式或實施例的特徵,以便本領域具有知識者可更能理解本揭露的各方面。本領域具有知識者應當理解,他們可以容易地將本揭露作為設計或修改其他製程和結構的基礎,以實現與本文介紹的實施方式或實施例相同的目的和/或實現相同的優點。本領域具有知識者還應該認識到,這樣的均等構造不脫離本揭露的精神和範圍,並且在不脫離本揭露的精神和範圍的情況下,他們可以在這裡進行各種改變、替換以及變更。
10:基材 11:下部位 12:摻雜物 15:光罩層 15A:第一光罩層 15B:第二光罩層 20,25:鰭狀構造 22:襯層 30:隔離絕緣層 40:絕緣材料層 42:犧牲閘極介電層 44:犧牲閘極電極層 45:包覆層/側壁間隔物 46:平板狀矽氮化物層 48:矽氧化物光罩層 49:閘極空間 50:源極/汲極磊晶層 52:空洞 60:絕緣襯層 65:層間介電層 66:附加介電層 101:界面層 102:閘極介電層 103:功函數調整層 104:閘極電極層 105:p型功函數調整層 106:黏著層 106A:經處理之黏著層 107:體金屬層 108:種晶層 109:經處理之種晶層 110:接觸孔 111:上蓋絕緣層 115:處理 120:矽化物層 130:導電材料 150,165:蝕刻停止層 155:硬光罩層 160:填充介電層/分離栓 170:第二層間介電層 180:導電接觸層 H1,H2,H2’,H3,H3’,H5,H5’:垂直高度 H4,H4’,H6,H6’:距離 X1-X1,X11-X11,X12-X12,Y1-Y1,Y2-Y2,Y11-Y11:線
本揭露的各方面,根據以下詳細敘述與附加圖式一起閱讀時可以得到最佳理解。應當注意,根據產業中的慣例,各種特徵並未按照比例繪製。事實上,為了能清楚論述,各種特徵的尺寸可以任意增加或縮小。 第1圖所示為根據本揭露的一種實施方式之用於製造場效電晶體元件的順序製程的階段之一。 第2圖所示為根據本揭露的一種實施方式之用於製造場效電晶體元件的順序製程的階段之一。 第3圖所示為根據本揭露的一種實施方式之用於製造場效電晶體元件的順序製程的階段之一。 第4圖所示為根據本揭露的一種實施方式之用於製造場效電晶體元件的順序製程的階段之一。 第5圖所示為根據本揭露的一種實施方式之用於製造場效電晶體元件的順序製程的階段之一。 第6圖所示為根據本揭露的一種實施方式之用於製造場效電晶體元件的順序製程的階段之一。 第7圖所示為根據本揭露的一種實施方式之用於製造場效電晶體元件的順序製程的階段之一。 第8圖所示為根據本揭露的一種實施方式之用於製造場效電晶體元件的順序製程的階段之一。 第9圖所示為根據本揭露的一種實施方式之用於製造場效電晶體元件的順序製程的階段之一。 第10圖所示為根據本揭露的一種實施方式之用於製造場效電晶體元件的順序製程的階段之一。 第11圖所示為根據本揭露的一種實施方式之用於製造場效電晶體元件的順序製程的階段之一。 第12圖所示為根據本揭露的一種實施方式之用於製造場效電晶體元件的順序製程的階段之一。 第13圖所示為根據本揭露的一種實施方式之用於製造場效電晶體元件的順序製程的階段之一。 第14圖所示為根據本揭露的一種實施方式之用於製造場效電晶體元件的順序製程的階段之一。 第15圖所示為根據本揭露的一種實施方式之用於製造場效電晶體元件的順序製程的階段之一。 第16圖所示為根據本揭露的一種實施方式之用於製造場效電晶體元件的順序製程的階段之一。 第17A圖、第17B圖、第17C圖以及第17D圖所示為根據本揭露的一種實施方式之閘極替代操作的順序製程的多樣階段。 第18A圖、第18B圖以及第18C圖所示為根據本揭露的一種實施方式之閘極替代操作的順序製程的多樣階段。 第19A圖、第19B圖以及第19C圖所示為根據本揭露的一種實施方式之閘極替代操作的順序製程的多樣階段。 第20A圖、第20B圖以及第20C圖所示為根據本揭露的一種實施方式之閘極替代操作的順序製程的多樣階段。 第21A圖、第21B圖以及第21C圖所示為根據本揭露的一種實施方式之閘極替代操作的順序製程的多樣階段。 第22圖、第23圖、第24圖、第25圖、第26圖、第27圖、第28A圖以及第28B圖所示為根據本揭露的一種實施方式之金屬閘極形成操作的順序製程的多樣階段。 第29A圖、第29B圖、第29C圖、第29D圖、第29E圖、第29F圖、第29G圖以及第29H圖所示為根據本揭露的一種實施方式之製造半導體元件的順序製程的多樣階段。 第30A圖以及第30B圖所示為根據本揭露的一種實施方式之製造半導體元件的順序製程的多樣階段。 第31A圖以及第31B圖所示為根據本揭露的一種實施方式之製造半導體元件的順序製程的多樣階段。 第32A圖以及第32B圖所示為根據本揭露的一種實施方式之製造半導體元件的順序製程的多樣階段。
20:鰭狀結構
45:側壁間隔物
65:層間介電層
101:介面層
102:閘極介電層
103:功函數調整層
105:p型功函數調整層
106:黏著層
106A:經處理之黏著層
107:體金屬層
108:種晶層
109:經處理之種晶層
111:上蓋絕緣層
H2,H3:垂直高度
H4:距離

Claims (20)

  1. 一種半導體元件的製造方法,包含: 形成一閘極介電層於一閘極空間中之一通道區域上方; 形成一或多個導電層於該閘極介電層上方; 形成一種晶層於該一或多個導電層上方; 藉由導入選自由氧、氮以及氟所組成之一群組中之一或多個元素處理該種晶層之一上部位;以及 形成一鎢層於該種晶層未經處理之一下部位上。
  2. 如請求項1所述之方法,其中沒有空洞或沒有縫形成於該鎢層。
  3. 如請求項1所述之方法,其中該處理包含一或多個離子植入以導入選自由氧、氮以及氟所組成之該群組中之一或多個元素之離子至該上部位。
  4. 如請求項1所述之方法,其中該處理包含一或多個電漿處理以導入選自由氧、氮以及氟所組成之該群組中之一或多個元素之離子至該上部位。
  5. 如請求項1所述之方法,其中該處理包含一或多個自由基處理以導入選自由氧、氮以及氟所組成之該群組中之一或多個元素之中性物質至該上部位。
  6. 如請求項1所述之方法,其中該鎢層生長於對經處理之該上部位有選擇性之該下部位上。
  7. 如請求項1所述之方法,其中該下部位之一高度為該種晶層之一總高度之10%至20%。
  8. 如請求項1所述之方法,其中該種晶層包含未經摻雜之一鎢層、含有矽之一鎢層、含有硼之一鎢層以及含有硼與矽之一鎢層中之一者。
  9. 一種製造半導體的方法,包含: 形成一鰭狀結構,該鰭狀結構之一上部位從設置於一基材上方之一隔離絕緣層突出; 形成一犧牲閘極介電層於該鰭狀結構上方; 形成一犧牲閘極電極層於該犧牲閘極介電層上方; 形成複數個閘極側壁間隔物; 形成一層間介電層; 移除該犧牲閘極電極層以及該犧牲閘極介電層,從而形成一閘極空間,其中該鰭狀結構之上部位暴露於該閘極空間中; 形成一閘極介電層於該鰭狀結構位於該閘極空間中之該上部位上方; 形成一或多個功函數調整層於該閘極介電層上方; 形成一黏著層於該一或多個功函數調整層上方; 形成一種晶層於該黏著層上方; 藉由導入選自由氧、氮以及氟所組成之一群組中之一或多個元素處理該種晶層之一上部位;以及 形成一體金屬層於該種晶層未經處理之一下部位上以完全填充該閘極空間。
  10. 如請求項9所述之方法,其中: 該體金屬層為一鎢層;以及 該種晶層包含未經摻雜之一鎢層、含有矽之一鎢層、含有硼之一鎢層以及含有硼與矽之一鎢層中之一者。
  11. 如請求項10所述之方法,其中經處理之該上部位包含未經摻雜之一鎢層、含有矽之一鎢層、含有硼之一鎢層以及含有硼與矽之一鎢層中之一者,並摻雜選自由氧、氮以及氟所組成之該群組中之一或多個元素。
  12. 如請求項10所述之方法,其中該下部位之一高度為該種晶層之一總高度之10%至20%。
  13. 如請求項12所述之方法,其中該處理包含一或多個離子植入以導入選自由氧、氮以及氟所組成之該群組中之一或多個元素之離子至該上部位。
  14. 如請求項12所述之方法,其中該處理包含一或多個電漿處理以導入選自由氧、氮以及氟所組成之該群組中之一或多個元素之離子至該上部位。
  15. 如請求項12所述之方法,其中該處理包含一或多個自由基處理以導入選自由氧、氮以及氟所組成之該群組中之一或多個元素之中性物質至該上部位。
  16. 如請求項10所述之方法,其中該處理該上部位包含導入選自由氧、氮以及氟所組成之該群組中之一或多個元素至該黏著層之一部分。
  17. 如請求項16所述之方法,其中: 該黏著層包含氮化鈦; 氮被導入至該黏著層之一上部位;以及 該黏著層之該上部位之一鈦氮比值為1.1至2.0。
  18. 如請求項10所述之方法,其中該鎢層生長於對經處理之該上部位有選擇性之該下部位上。
  19. 一種半導體元件,包含: 一鰭狀結構,從設置於一基材上方之一隔離絕緣層突出,以及具有一通道區域; 一源極/汲極區域,設置於該基材上方; 一閘極介電層,設置於該通道區域上;以及 一閘極電極層,設置於該閘極介電層上,其中: 該閘極電極層包含: 一或多個功函數調整層,於該閘極介電層上方; 一黏著層,於該一或多個功函數調整層上方; 一種晶層,於該黏著層上方;以及 一體金屬層,於該種晶層上, 其中該種晶層之一上部位比該種晶層之一下部位含有更大量之氧、氮以及氟中之一或多個。
  20. 如請求項19所述之半導體元件,其中該種晶層包含未經摻雜之一鎢層、含有矽之一鎢層、含有硼之一鎢層以及含有硼與矽之一鎢層中之一者。
TW109142960A 2020-08-31 2020-12-04 半導體元件的製造方法 TW202211308A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/008,354 US11515162B2 (en) 2020-08-31 2020-08-31 Method of manufacturing a semiconductor device and a semiconductor device
US17/008,354 2020-08-31

Publications (1)

Publication Number Publication Date
TW202211308A true TW202211308A (zh) 2022-03-16

Family

ID=78129983

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109142960A TW202211308A (zh) 2020-08-31 2020-12-04 半導體元件的製造方法

Country Status (3)

Country Link
US (2) US11515162B2 (zh)
CN (1) CN113555319A (zh)
TW (1) TW202211308A (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20220030455A (ko) * 2020-09-01 2022-03-11 삼성전자주식회사 반도체 장치
KR20220030456A (ko) * 2020-09-01 2022-03-11 삼성전자주식회사 반도체 장치
US11848373B2 (en) * 2021-04-08 2023-12-19 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7767539B2 (en) * 2007-12-04 2010-08-03 International Business Machines Corporation Method of fabricating patterned SOI devices and the resulting device structures
KR102467848B1 (ko) * 2015-10-12 2022-11-16 삼성전자주식회사 집적회로 소자 및 그 제조 방법
US10164012B2 (en) * 2015-11-30 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9761484B1 (en) * 2016-07-25 2017-09-12 International Business Machines Corporation Interconnect structure and fabrication thereof
US9935173B1 (en) * 2016-11-29 2018-04-03 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure
US10157785B2 (en) * 2017-05-01 2018-12-18 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method

Also Published As

Publication number Publication date
US20220068650A1 (en) 2022-03-03
CN113555319A (zh) 2021-10-26
US11515162B2 (en) 2022-11-29
US20220384196A1 (en) 2022-12-01

Similar Documents

Publication Publication Date Title
CN106816381B (zh) 半导体装置及其制造方法
US9997616B2 (en) Semiconductor device having a strained region
TWI641056B (zh) 半導體裝置的形成方法與n型通道之半導體場效電晶體
US11545562B2 (en) Source and drain structure with reduced contact resistance and enhanced mobility
US11967594B2 (en) Semiconductor device structure and methods of forming the same
US20220384196A1 (en) Method of manufacturing a semiconductor device and a semiconductor device
US11830947B2 (en) Method of manufacturing a semiconductor device and a semiconductor device
CN113690141B (zh) 制造半导体器件的方法和半导体器件
TW201824398A (zh) 製造半導體裝置的方法
US11973144B2 (en) Method of manufacturing a semiconductor and a semiconductor device
CN111834227B (zh) 半导体器件及其制造方法
TW202209555A (zh) 半導體元件的製造方法及半導體元件
US11742404B2 (en) Method of manufacturing a semiconductor device and a semiconductor device
US20230352550A1 (en) Method of manufacturing a semiconductor device and a semiconductor device
TW202201790A (zh) 製造半導體裝置的方法和半導體裝置
TWI770748B (zh) 半導體裝置及其製造方法
CN113206042B (zh) 制造半导体器件的方法和半导体器件
TW202213642A (zh) 半導體裝置及其製造方法
TWI762358B (zh) 半導體元件及其製造方法
CN220172136U (zh) 半导体装置
TWI760082B (zh) 製造半導體裝置之方法及其裝置
US20240170339A1 (en) Method of manufacturing a semiconductor device and a semiconductor device
TW202320145A (zh) 半導體裝置及其製造方法
TW202412113A (zh) 半導體裝置及其製造方法
TW202143333A (zh) 半導體元件之製造方法