TWI759392B - 製造半導體裝置的方法及形成介電層的方法 - Google Patents

製造半導體裝置的方法及形成介電層的方法 Download PDF

Info

Publication number
TWI759392B
TWI759392B TW106146025A TW106146025A TWI759392B TW I759392 B TWI759392 B TW I759392B TW 106146025 A TW106146025 A TW 106146025A TW 106146025 A TW106146025 A TW 106146025A TW I759392 B TWI759392 B TW I759392B
Authority
TW
Taiwan
Prior art keywords
layer
dielectric layer
forming
gas
silicon nitride
Prior art date
Application number
TW106146025A
Other languages
English (en)
Other versions
TW201841259A (zh
Inventor
鄭元雄
黃宣惠
曺侖廷
崔晶植
曉兵 周
布萊恩大衛 雷肯
秉槿 黃
麥可大衛 泰根霍夫
Original Assignee
南韓商三星電子股份有限公司
美商Ddp特種電子材料美國第9有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 南韓商三星電子股份有限公司, 美商Ddp特種電子材料美國第9有限公司 filed Critical 南韓商三星電子股份有限公司
Publication of TW201841259A publication Critical patent/TW201841259A/zh
Application granted granted Critical
Publication of TWI759392B publication Critical patent/TWI759392B/zh

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • H01L21/02222Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen the compound being a silazane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02538Group 13/15 materials
    • H01L21/0254Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02551Group 12/16 materials
    • H01L21/02554Oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3211Nitridation of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76819Smoothing of the dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66522Unipolar field-effect transistors with an insulated gate, i.e. MISFET with an active layer made of a group 13/15 material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40114Multistep manufacturing processes for data storage electrodes the electrodes comprising a conductor-insulator-conductor-insulator-semiconductor structure
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/48Data lines or contacts therefor
    • H10B12/482Bit lines
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/30Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Nanotechnology (AREA)
  • Ceramic Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Semiconductor Memories (AREA)
  • Non-Volatile Memory (AREA)

Abstract

一種製造半導體裝置的方法及形成介電層的方法。製造 半導體裝置的方法包括:在基底上形成具有高度差的結構;以及使用原子層沉積方法在所述結構上形成介電層結構。形成所述介電層結構包括在具有所述高度差的所述結構上形成包含氮化矽的第一介電層。形成所述第一介電層包括將包含五氯二矽烷或二異丙胺五氯二矽烷作為矽前驅體的第一氣體以及包含氮成分的第二氣體饋送到包括所述基底的腔室中,使得在具有所述高度差的所述結構上在原位形成所述第一介電層。

Description

製造半導體裝置的方法及形成介電層的方法
本發明示例性實施例涉及一種製造半導體裝置的方法及形成介電層的方法。本發明示例性實施例涉及在基底上形成薄膜以具有臺階覆蓋(step coverage)。
隨著半導體裝置的積集密度增大,製作半導體裝置變得越來越困難,且特別是在基底上形成在製作半導體裝置時所使用的薄膜(例如(舉例來說),氮化矽層)以具有臺階覆蓋變得越來越困難。
在使用例如雙叔丁基胺矽烷(bis(tertiary-butylamine)silane,BTBAS)等有機矽前驅體通過原子層沉積(atomic layer deposition,ALD)形成氮化矽層的情形中,可提供改善的臺階覆蓋,但氮化矽層的品質可能因有機矽前驅體 中所包含的碳(C)成分及氮(N)成分而劣化。
本發明實施例涉及一種製作半導體裝置的方法,所述方法包括在基底上形成具有高度差的結構以及使用原子層沉積(ALD)方法在所述結構上形成介電層結構。形成所述介電層結構包括在具有所述高度差的所述結構上形成包含氮化矽的第一介電層。形成所述第一介電層包括將包含五氯二矽烷(pentachlorodisilane,PCDS)或二異丙胺五氯二矽烷(diisopropylamine pentachlorodisilane,DPDC)作為矽前驅體的第一氣體以及包含氮成分的第二氣體饋送到包括所述基底的腔室中,使得在具有所述高度差的所述結構上在原位(in-situ)形成所述第一介電層。
本發明實施例還涉及一種製作半導體裝置的方法,所述方法包括在基底上形成結構;以及使用原子層沉積(ALD)方法在腔室內在所述結構上形成氮化矽層。形成所述氮化矽層可包括將包含五氯二矽烷(PCDS)及二異丙基胺基五氯二矽烷(DPDC)中的一個作為矽前驅體的第一氣體饋送到所述腔室中,通過將第一吹洗氣體饋送到所述腔室中來吹洗所述第一氣體的未反應部分,將包含氮氣的第二氣體饋送到所述腔室中,並通過將第二吹洗氣體饋送到所述腔室中來吹洗所述第二氣體的未反應部分。
本發明實施例還涉及通過原子層沉積在靶物體上形成介 電層的方法,所述靶物體包括至少一個具有側壁的結構特徵,所述方法包括:將包含五氯二矽烷(PCDS)或二異丙胺五氯二矽烷(DPDC)的第一氣體饋送到包括所述靶物體的腔室中,以在所述靶物體上形成具有原子層厚度的矽前驅體層;將第一吹洗氣體饋送到所述腔室中以從所述腔室吹洗掉所述第一氣體的未反應的或未吸附的部分;將第二氣體饋送到所述腔室中,所述第二氣體為氮氣或含有氮化合物的氣體,使得所述第二氣體與所述矽前驅體反應以在所述靶物體上形成具有原子層厚度的氮化矽層;將第二吹洗氣體饋送到所述腔室中以從所述腔室吹洗掉所述第二氣體的未反應的或未吸附的部分;以及重複地饋送所述第一氣體、饋送所述第一吹洗氣體、饋送所述第二氣體及饋送所述第二吹洗氣體,以將所述介電層形成為包括厚度大於原子層厚度的氮化矽層。
110、310、410:基底
120:隔離層
130:穿隧介電層
140:電荷儲存層
150:介電層結構
151、251:第一介電層
152、252:第二介電層
153、253:第三介電層
160:控制閘極
210、AR:主動區
220:隔離區
230:閘極
240:全域位元線
320:堆疊結構
321:犧牲層
322:半導體層
330:半導體圖案結構
331:第一犧牲層
332:第二犧牲層
333:第三犧牲層
334:第一半導體層
335:第二半導體層
341:第一罩幕圖案
342:第二罩幕圖案
351、460:層間介電層
352:絕緣層
353、422:閘極絕緣層
354:場絕緣層
360:犧牲閘極
370、450:閘極間隔件
380:虛設閘極間隔件
420:絕緣層圖案
421:界面層
430:閘極電極圖案
431:功函數調整層
432:閘極金屬
440:頂蓋圖案
470:間隔件材料
a1、a2、a3、b1、b2:條
T:溝槽
X:第一方向
Z:第二方向
通過參照附圖詳細闡述示例性實施例,各種特徵對所屬領域中的技術人員來說將變得顯而易見,在附圖中:
圖1示出根據示例性實施例的氮化矽層的形成的時序圖。
圖2示出用於解釋根據示例性實施例的氮化矽層的形成的實驗例的圖表。
圖3至圖5示出根據示例性實施例的製作半導體裝置的方法的各個階段的示意圖。
圖6至圖8示出根據示例性實施例的製作半導體裝置的方法 的各個階段的示意圖。
圖9至圖12示出根據示例性實施例的製作半導體裝置的方法的各個階段的示意圖。
圖13及圖14示出根據示例性實施例的製作半導體裝置的方法的各個步驟的示意圖。
在下文中,現將參照附圖來更充分地闡述各示例性實施例;然而,所述各示例性實施例可被實施為不同的形式而不應被視為僅限於本文所述實施例。確切來說,提供這些實施例是為了使本公開透徹及完整,並將向所屬領域中的技術人員全面傳達示例性實施方式。
在所繪示的各個圖中,為使例示清晰起見,可誇大各層及各區的尺寸。相同的參考編號自始至終指代相同的元件。
在下文中,將參照圖1闡述根據一些示例性實施例的氮化矽層的形成。
圖1示出根據一些示例性實施例的氮化矽層的形成的時序圖。
可使用根據本公開的原子層沉積(ALD)方法在原位形成氮化矽層。原子層沉積方法可包括:饋送包含矽前驅體的第一氣體;使用第一吹洗氣體來吹洗第一氣體的未反應部分;通過饋送包含氮氣的第二氣體來形成具有原子層厚度的氮化矽層;以及 使用第二吹洗氣體來吹洗第二氣體的未反應部分。
舉例來說,參照圖1,可在腔室內放置將在上面形成氮化矽層的靶物體(例如,形成在基底上的結構)。靶物體的高寬比(height-to-width ratio)(即,縱橫比)可為例如10或大於10。
之後,可對溫度及壓力進行調整,且可將包含矽前驅體的第一氣體饋送到腔室中。接著,矽前驅體被吸附到靶物體上。
不同於包含碳(C)成分及氮(N)成分的典型有機矽前驅體,所述矽前驅體可為不包含任何C成分及N成分的化合物。在一些實施方式中,矽前驅體可包含C成分。
矽前驅體可為或可包含例如由式1表示的五氯二矽烷(PCDS)或由式2表示的二異丙基胺基五氯二矽烷(DPDC):
Figure 106146025-A0305-02-0007-1
;及
Figure 106146025-A0305-02-0007-2
與使用六氯二矽烷(HCDS)或二氯矽烷(DCS)作為矽 前驅體的情形相比,當使用五氯二矽烷或二異丙胺五氯二矽烷作為矽前驅體時,矽前驅體的表面反應性可得到改善,且因此,每循環生長(growth per cycle,GPC)速率可提高。
之後,可通過將第一吹洗氣體(例如(舉例來說),氮(N2)氣、氦(He)氣或氬(Ar)氣)饋送到腔室中來吹洗掉腔室中的矽前驅體的保持未反應的部分。因此,吸附到靶物體上的矽前驅體可被轉換成具有原子層厚度的層。
之後,可通過將包含N成分的氮化物氣體饋送到腔室中來形成氮化矽層。作為實例,氮化物氣體可包括N2、氨氣(NH3)及一氧化氮(NO)中的至少一個。
之後,可通過將第二吹洗氣體(例如(舉例來說),N2、He或氬氣)饋送到腔室中來吹洗掉腔室中的氮化物氣體的保持未反應的部分。這樣一來,氮化矽層形成過程的一個循環便可完成,且可在靶物體上形成具有原子層厚度的氮化矽層。
通過重複地執行具有原子層厚度的氮化矽層的形成,可在靶物體上形成具有期望厚度的氮化矽層。
可使氮化矽層經受熱處理製程以提高氮化矽層的品質。可以與用於通過化學氣相沉積(chemical vapor deposition,CVD)形成氮化矽層的溫度一樣高的沉積溫度執行熱處理製程。舉例來說,可以約850℃到約1,050℃的沉積溫度執行熱處理製程。
通過原子層沉積方法獲得的氮化矽層的品質可優於通過例如化學氣相沉積獲得的氮化矽層的品質。本文所述通過原子層 沉積方法獲得的氮化矽層可具有優異的臺階覆蓋,且因此可共形地形成在具有大的高度差或高縱橫比的結構上而不會留下任何空隙。
提供以下實例及比較例是為了突出一個或多個實施例的特性,但應理解,所述實例及比較例並非被視為對實施例的範圍進行限制,且所述比較例也並非被視為處於實施例的範圍外。另外,應理解,各實施例並非僅限於在所述實例及比較例中所闡述的具體細節。
在下文中將參照圖2闡述根據一些示例性實施例的氮化矽層的形成的實驗例。
圖2示出根據一些示例性實施例的氮化矽層的形成的實驗例的圖表。
實驗例-對於五氯二矽烷及六氯二矽烷來說根據處理溫度進行的每循環生長速率測量
分別作為實驗例及比較例進行了實驗。
在實驗例中,氮化矽層是使用五氯二矽烷通過原子層沉積方法形成。在比較例中,氮化矽層是使用六氯二矽烷通過原子層沉積方法形成。
參照圖2,根據實驗例分別以300℃、350℃及400℃的溫度使用五氯二矽烷形成氮化矽層,且根據比較例分別以300℃、350℃及400℃的溫度使用六氯二矽烷形成氮化矽層。
條a1、a2及a3表示在根據實驗例分別以300℃、350℃ 及400℃的溫度使用五氯二矽烷形成氮化矽層時獲得的每循環生長速率測量結果。條b1及b2表示在根據比較例分別以350℃及400℃的溫度使用六氯二矽烷形成氮化矽層時獲得的每循環生長速率測量結果。
參照條a2及b1,在以350℃的溫度形成氮化矽層的情形中,顯示每循環生長速率在根據實驗例使用五氯二矽烷時比在根據比較例使用六氯二矽烷時高。
參照條a3及b2,在以400℃的溫度形成氮化矽層的情形中,也顯示每循環生長速率在根據實驗例使用五氯二矽烷時比在根據比較例使用六氯二矽烷時高。
參照條a1,在以300℃的溫度形成氮化矽層的情形中,在根據比較例使用六氯二矽烷時未形成氮化矽層,但在根據實驗例使用五氯二矽烷時,會獲得為0.9埃/循環或高於0.9埃/循環的每循環生長速率。
從以上可看出,當根據實驗例使用五氯二矽烷形成氮化矽層時可實現0.8埃/循環或高於0.8埃/循環的每循環生長速率。另外顯示,與在使用六氯二矽烷時相比,在使用五氯二矽烷時每循環生長速率普遍得到提高,且即使在以300℃或高於300℃但低於350℃的相對低的溫度下執行的低溫製程中也可形成具有優異的臺階覆蓋的氮化矽層。
在下文中,將闡述根據一些示例性實施例的使用形成氮化矽層的方法進行的半導體裝置的製作。
在下文中,將參照圖3至圖5闡述根據示例性實施例的製作半導體裝置的方法。
圖3至圖5示出根據示例性實施例的製作半導體裝置的方法的各個階段的示意圖。舉例來說,圖3至圖5示出製作快閃記憶體裝置的方法的各個步驟。
參照圖3,可在基底110上依序形成穿隧介電層130及電荷儲存層140。穿隧介電層130可為電荷的穿隧提供能量帶隙(energy band gap)。
考慮到介電常數及能量帶隙性質,穿隧介電層130可由合適的材料形成。穿隧介電層130可包含例如氧化矽、氮化矽或高介電常數材料(high-k material)。
高介電常數材料可包括金屬氧化物,例如(舉例來說),氧化鉿、氧化鋁、氧化鉿鋁或氧化鋯。
電荷儲存層140可儲存電荷。當將要製作的快閃記憶體裝置是浮置閘極型時,電荷儲存層140可由例如多晶矽等導電材料形成。當將要製作的快閃記憶體裝置是電荷捕獲型時,電荷儲存層140可由氮化矽、氧化鉿、氧化鋯、氧化鉭、氮氧化鉿、氧化鉿矽、摻雜有鎢的氧化鋁或奈米點(nanodot)形成。
穿隧介電層130及電荷儲存層140可以合適的方式形成。舉例來說,形成穿隧介電層130及電荷儲存層140可包括:在基底110上依序形成預穿隧介電層(pre-tunnel dielectric layer)、預電荷儲存層(pre-charge storage layer)及罩幕層;通過 對預穿隧介電層、預電荷儲存層及罩幕層進行圖案化來形成隔離層120;以及移除罩幕層。
參照圖4及圖5,如本文所述可使用原子層沉積方法在電荷儲存層140上形成介電層結構150,介電層結構150包括由氧化矽形成的第一介電層151、由除氧化矽之外的材料形成的第二介電層152及由氧化矽形成的第三介電層153。當將要製作的快閃記憶體裝置是浮置閘極型時,介電層結構150可為閘極間介電層。當將要製作的快閃記憶體裝置是電荷捕獲型時,介電層結構150可為阻擋介電層(blocking dielectric layer)。
在下文中,將闡述以氮化矽層作為第二介電層152的介電層結構150的原位形成。
可在腔室中放置具有高度差且上面形成有電荷儲存層140的基底110。之後,可形成包含氧化矽的第一介電層151。
舉例來說,可對溫度及壓力進行適當控制,且可將包含矽前驅體的第一氣體饋送到腔室中。接著,矽前驅體可被吸附到第一介電層151上。舉例來說,可使用五氯二矽烷及二異丙胺五氯二矽烷中的一個作為矽前驅體。
之後,可通過依序饋送第一吹洗氣體、第二氣體及第二吹洗氣體在第一介電層151上形成具有原子層厚度且包含氮化矽的第二介電層152。
通過重複地執行具有原子層厚度的第二介電層152的形成,可在第一介電層151上形成具有期望厚度的第二介電層152 作為氮化矽層。
之後,可在第二介電層152上形成包含氧化矽的第三介電層153。
介電層結構150可具有優異的臺階覆蓋,且因此可共形地形成在電荷儲存層140的各部分之間的縱橫比為例如10或大於10的空間中,而不會留下任何空隙。當在原位形成第一介電層151、第二介電層152及第三介電層153時,形成介電層結構150所需的時間量可減少,且介電層結構150的形成可得到簡化。
之後,可在介電層結構150上形成控制閘極160。舉例來說,控制閘極160可由多晶矽或者例如TaN、TiN、WN、W或其組合等金屬電極材料形成。
在下文中,將參照圖6至圖8闡述根據另一個示例性實施例的製作半導體裝置的方法。
圖6至圖8示出根據另一個示例性實施例的製作半導體裝置的方法的各個階段的示意圖。舉例來說,圖6至圖8示出製作動態隨機存取記憶體(dynamic random access memory,DRAM)胞元半導體裝置的方法的各個步驟。
參照圖6,圖6是動態隨機存取記憶體胞元區的佈局圖,閘極230沿水平方向橫跨基底的主動區210延伸,且全域位元線(global bit line,GBL)240沿垂直方向橫跨主動區210延伸。基底的除主動區210之外的部分是隔離區220。
參照圖7及圖8,圖7及圖8示出記憶體胞元區,在基底 的主動區210上形成全域位元線240。之後,在全域位元線240的側壁上形成包含氧化矽的第一介電層251。第一介電層251可執行間隔件的功能。
之後,在第一介電層251上形成包含氮化矽的第二介電層252。第二介電層252可使用如上所述原子層沉積方法形成。
舉例來說,可對腔室中的溫度及壓力進行調整,且可將包含矽前驅體的第一氣體饋送到腔室中。矽前驅體可被吸附到第一介電層251上。可使用五氯二矽烷或二異丙胺五氯二矽烷作為矽前驅體。
之後,可通過依序饋送第一吹洗氣體、第二氣體及第二吹洗氣體在第一介電層251上形成具有原子層厚度且包含氮化矽的第二介電層252。可在熱條件或電漿條件下進行第二氣體與矽前驅體之間的反應。
通過重複地執行形成具有原子層厚度的第二介電層252的步驟,可在第一介電層251上形成具有期望厚度的第二介電層252作為氮化矽層。
之後,可在第二介電層252上形成包含氧化矽的第三介電層253。
由於不需要在胞元區中形成雜質層,因此可形成光阻圖案來覆蓋胞元區。可移除光阻圖案及第三介電層253,且可通過在第二介電層252上執行非等向性蝕刻製程來形成氮化物層間隔件。之後,可移除第二介電層252的與基底的主動區210接觸的 一部分。
在下文中,將參照圖9至圖12闡述根據另一個示例性實施例的製作半導體裝置的方法。
圖9至圖12示出根據另一個示例性實施例的製作半導體裝置的方法的各個步驟的示意圖。舉例來說,圖9至圖12示出製作具有堆疊奈米薄片電晶體結構(stacked nanosheet transistor structure)的半導體裝置的方法的各個階段。
參照圖9,可在基底310上形成其中交替地堆疊有多個犧牲層321及多個半導體層322的堆疊結構320。
可通過例如晶片結合來將與基底310接觸的最底部的犧牲層321結合到基底310上。
可通過例如磊晶生長(epitaxial growth)在最底部的犧牲層321上交替地形成半導體層322及非最底部的犧牲層321。堆疊結構320的最上部層可為例如犧牲層321。
犧牲層321與半導體層322可包含彼此不同的材料。犧牲層321可包含蝕刻選擇性與半導體層322的蝕刻選擇性不同的材料。犧牲層321可包含例如SiGe或Ge。半導體層322可包含例如Si及III-V族化合物半導體。
之後,參照圖10,可在堆疊結構320上形成在第一方向X上延伸的第一罩幕圖案341。
第一罩幕圖案341可由包括例如以下中的至少一個的材料形成:氧化矽層、氮化矽層與氮氧化矽層。當第一罩幕圖案341 包含氮化矽層時,可通過如上所述原子層沉積方法形成第一罩幕圖案341。
舉例來說,可對腔室中的溫度及壓力進行調整,且可將包含矽前驅體的第一氣體饋送到腔室中。接著,矽前驅體可被吸附到堆疊結構320上。作為實例,可使用五氯二矽烷或二異丙胺五氯二矽烷作為矽前驅體。
之後,可通過依序饋送第一吹洗氣體、第二氣體及第二吹洗氣體在堆疊結構320上形成具有原子層厚度且包含氮化矽的第一罩幕圖案341。通過重複地執行具有原子層厚度的第一罩幕圖案341的形成,可在堆疊結構320上形成具有期望厚度的第一罩幕圖案341作為氮化矽層。
如圖10所示,可通過使用第一罩幕圖案341作為罩幕對堆疊結構320進行蝕刻來形成半導體圖案結構330。舉例來說,可通過對堆疊結構320進行蝕刻直至暴露出基底310的頂表面來形成半導體圖案結構330。
半導體圖案結構330可在第一方向X上長長地延伸。半導體圖案結構330可包括交替地堆疊在基底310上的多個犧牲層及多個半導體層。
舉例來說,半導體圖案結構330可包括形成在基底310上的第一犧牲層331、形成在第一犧牲層331上的第一半導體層334、形成在第一半導體層334上的第二犧牲層332、形成在第二犧牲層332上的第二半導體層335及形成在第二半導體層335上 的第三犧牲層333。
在對半導體圖案結構330進行蝕刻期間,也可對基底310的一部分進行蝕刻,從而形成主動區AR。之後,可形成層間介電層來覆蓋基底310及第一罩幕圖案341。可接著使層間介電層經受平坦化製程,直到暴露出第一罩幕圖案341的頂表面為止。結果,可獲得圖10所示層間介電層351。
之後,可將第一罩幕圖案341從半導體圖案結構330移除。
參照圖11,通過使用第二罩幕圖案342執行蝕刻製程,可形成閘極絕緣層353及犧牲閘極360來與半導體圖案結構330交叉,閘極絕緣層353及犧牲閘極360二者均在與第一方向X及第二方向Z垂直的第三方向上延伸。
第二罩幕圖案342可由包括例如以下中的至少一個的材料形成:氧化矽層、氮化矽層與氮氧化矽層。當第二罩幕圖案342包含氮化矽層時,可通過上述原子層沉積方法形成第二罩幕圖案342。
犧牲閘極360可形成在半導體圖案結構330上。另外,閘極絕緣層353可形成在絕緣層352的側壁及頂表面上以及場絕緣層(field insulating layer)354的頂表面上。
絕緣層352可形成在半導體圖案結構330與閘極絕緣層353之間以覆蓋半導體圖案結構330。絕緣層352可為例如氧化物層(例如,包含SiO2的層)。
舉例來說,閘極絕緣層353可為氧化矽層,且犧牲閘極360可包含多晶矽或非晶矽。
參照圖12,可在犧牲閘極360的側壁上形成閘極間隔件370及虛設閘極間隔件380。
舉例來說,間隔件層可形成在基底310上以覆蓋犧牲閘極360及半導體圖案結構330。當間隔件層包含氮化矽時,可通過上述原子層沉積方法形成所述間隔件層。
可對腔室中的溫度及壓力進行調整,且可將包含矽前驅體的第一氣體饋送到腔室中。接著,矽前驅體可被吸附到犧牲閘極360及半導體圖案結構330上。舉例來說,可使用五氯二矽烷或二異丙胺五氯二矽烷作為矽前驅體。
之後,如上所述,可通過依序饋送第一吹洗氣體、第二氣體及第二吹洗氣體在犧牲閘極360及半導體圖案結構330上形成具有原子層厚度且包含氮化矽的間隔件層。
通過重複地執行具有原子層厚度的間隔件層的形成,可在犧牲閘極360及半導體圖案結構330上形成具有期望厚度的間隔件層作為氮化矽層。
之後,通過對間隔件層進行回蝕刻,可在犧牲閘極360的側壁上形成閘極間隔件370及虛設閘極間隔件380。
在下文中,將參照圖13及圖14闡述根據另一個示例性實施例的製作半導體裝置的方法。
圖13及圖14示出根據另一個示例性實施例的製作半導 體裝置的方法的各個階段的示意圖。舉例來說,圖13及圖14示出製作在其接點(contact)中形成有間隔件的半導體裝置的方法的各個階段。
參照圖13,可在基底410上將第一閘極圖案與第二閘極圖案形成為彼此間隔開。第一閘極圖案與第二閘極圖案可具有實質上相同的要素。
第一閘極圖案及第二閘極圖案中的每一個可包括閘極間隔件450、絕緣層圖案420、閘極電極圖案430及頂蓋圖案440。
絕緣層圖案420可包括界面層421及閘極絕緣層422。
界面層421可有助於防止基底410與閘極絕緣層422之間存在不良界面(poor interface)。
界面層421可包含介電常數(k)為9或小於9的低介電常數材料層。界面層421可包含例如介電常數(k)為4的氧化矽層或介電常數(k)為約4到8的氮氧化矽層,這是根據氮氧化矽層中氧原子及氮原子的含量而定。
界面層421可通過例如化學氧化、紫外光(ultraviolet,UV)氧化或雙電漿氧化形成。
閘極絕緣層422可形成在界面層421上。當不提供界面層421時,可在基底410上形成閘極絕緣層422。
閘極絕緣層422可包含高介電常數材料。作為實例,閘極絕緣層422可包含例如以下中的至少一個:氧化鉿、氧化鉿矽、氧化鑭、氧化鑭鋁、氧化鋯、氧化鋯矽、氧化鉭、氧化鈦、氧化 鋇鍶鈦、氧化鋇鈦、氧化鍶鈦、氧化釔、氧化鋁、氧化鉛鈧鉭及鈮酸鉛鋅。
閘極絕緣層422可根據將要製作的半導體裝置的類型而被形成為適當的厚度。舉例來說,當閘極絕緣層422包含HfO2時,閘極絕緣層422可被形成為約50埃的厚度(例如,被形成為約5埃到50埃的厚度)。
閘極電極圖案430可包括功函數調整層431及閘極金屬432。
功函數調整層431可形成在閘極絕緣層422上。功函數調整層431可被形成為與閘極絕緣層422接觸。功函數調整層431可用於調整功函數。
功函數調整層431可包含例如金屬氮化物。功函數調整層431的材料可根據將要製作的半導體裝置的類型變化。當功函數調整層431是p型功函數調整層時,功函數調整層431可包含例如以下中的至少一個:TiN、WN、TaN、Ru與其組合。當功函數調整層431是n型功函數調整層時,功函數調整層431可包含例如以下中的至少一個:Ti、Ag、Al、TiAl、TiAlN、TiAlC、TaC、TaCN、TaSiN、Mn、Zr與其組合。
當功函數調整層431是p型功函數調整層時,功函數調整層431可被形成為包含TiN的單層或包括TiN下部層及TaN上部層的雙層。
閘極金屬432可形成在功函數調整層431上。閘極金屬 432可被形成為與功函數調整層431接觸。舉例來說,閘極金屬432可被形成為填充由功函數調整層431形成的空間。閘極金屬432可包含導電材料,例如(舉例來說),W或Al。
頂蓋圖案440可形成在閘極金屬432上。頂蓋圖案440可被形成為與閘極金屬432接觸。頂蓋圖案440可包含例如以下中的至少一個:氮化矽(SiN)、氮氧化矽(SiON)、氧化矽(SiO2)、碳氮化矽(SiCN)、碳氮氧化矽(SiOCN)與其組合。
可在基底410上形成層間介電層460以覆蓋第一閘極圖案及第二閘極圖案。層間介電層460可包含例如以下中的至少一個:低介電常數材料、氧化物層、氮化物層與氮氧化物層。低介電常數材料可包括例如可流動的氧化物(flowable oxide,FOX)、Tonen矽氮烷(tonen silazene,TOSZ)、未經摻雜的矽酸鹽玻璃(undoped silicate glass,USG)、硼矽酸鹽玻璃(borosilicate glass,BSG)、磷矽酸鹽玻璃(phosphosilicate glass,PSG)、硼磷矽酸鹽玻璃(borophosphosilicate glass,BPSG)、電漿增強正矽酸四乙酯(plasma enhanced tetra ethyl ortho silicate,PETEOS)、氟矽酸鹽玻璃(fluoride silicate glass,FSG)、摻雜有碳的氧化矽(carbon doped silicon oxide,CDO)、乾凝膠(Xerogel)、氣凝膠(Aerogel)、非晶氟化碳(amorphous fluorinated carbon)、有機矽酸鹽玻璃(organo silicate glass,OSG)、聚對二甲苯(parylene)、雙苯並環丁烯(bis-benzocyclobutene,BCB)、SiLK、聚醯亞胺、多孔聚合物材料或其組合。
在基底410上形成第一閘極圖案及第二閘極圖案之後,可形成層間介電層460以覆蓋第一閘極圖案及第二閘極圖案。
之後,可在層間介電層460中形成溝槽T以暴露出基底410的位於第一閘極圖案與第二閘極圖案之間的一部分。可通過使用例如乾式蝕刻製程、濕式蝕刻製程或其組合移除層間介電層460的一部分來在層間介電層460中形成溝槽T。
參照圖14,可將間隔件材料470共形地形成在溝槽T的側壁上。當間隔件材料470包含氮化矽層時,間隔件材料470可通過根據本公開的原子層沉積方法形成。
舉例來說,可對腔室中的溫度及壓力進行調整,且可將包含矽前驅體的第一氣體饋送到腔室中。接著,矽前驅體可被吸附到溝槽T的側壁及底部上。如上所述,舉例來說,可使用五氯二矽烷或二異丙胺五氯二矽烷作為矽前驅體。
可如上所述通過依序饋送第一吹洗氣體、第二氣體及第二吹洗氣體來在溝槽T的側壁及底部上形成具有原子層厚度且包含氮化矽的間隔件材料470。
通過重複地執行具有原子層厚度的間隔件材料470的形成,可在溝槽T的側壁及底部上形成具有期望厚度的接觸間隔件作為氮化矽層。
綜上所述,隨著半導體裝置的積集密度增大,製作半導體裝置變得越來越困難,且特別是在基底上將在製作半導體裝置時所使用的薄膜(例如(舉例來說),氮化矽層)形成為具有臺階 覆蓋變得越來越困難。在使用例如雙叔丁基胺矽烷(BTBAS)等有機矽前驅體通過原子層沉積(ALD)形成氮化矽層的情形中,可提供改善的臺階覆蓋,但氮化矽層的品質可能因有機矽前驅體中所包含的碳(C)成分及氮(N)成分而劣化。
根據示例性實施例的製作半導體裝置的方法可使用包含五氯二矽烷或二異丙胺五氯二矽烷的矽前驅體與使用原子層沉積方法形成介電層。因此,與使用現有矽前驅體的情形相比,可獲得優異的臺階覆蓋。因此,氮化矽層可共形地形成在具有大的高度差或高縱橫比的結構上而不會留下任何空隙。
本發明示例性實施例還提供了一種製作半導體裝置的方法,所述方法能夠通過原子層沉積(ALD)提高每循環生長(GPC)速率,且即使在低溫製程中也可形成具有優異的臺階覆蓋的氮化矽層。如以上利用實驗例及比較例所論述,與使用現有矽前驅體的情形相比,每循環生長速率可得到提高,且即使在以300℃或大於300℃但小於350℃的相對低的溫度執行的低溫製程中也可形成具有優異的臺階覆蓋的氮化矽層。
根據本公開的原子層沉積方法可用於通過原子層沉積共形地形成包含氮化矽的介電層的製作半導體裝置的各種其他方法中。
已在本文中公開了示例性實施例,且儘管採用了特定用語,但所述特定用語僅在一般的及說明性的意義上使用及加以解釋而並非用於限制目的。在一些情形中,如在提出本申請時對所 屬領域中的普通技術人員來說將顯而易見,除非另外具體地指明,否則結合具體實施例所闡述的特徵、特性及/或元件可單獨地使用或與結合其他實施例所闡述的特徵、特性及/或元件組合使用。因此,所屬領域中的技術人員應理解,在不背離以下申請專利範圍所述本發明的精神及範圍的條件下,可對形式及細節作出各種改變。

Claims (19)

  1. 一種製造半導體裝置的方法,包括:在基底上形成具有高度差的結構;以及使用原子層沉積方法在具有所述高度差的所述結構上形成介電層結構,其中形成所述介電層結構包括在具有所述高度差的所述結構上形成包含氮化矽的第一介電層,其中形成所述第一介電層包括將包含五氯二矽烷或二異丙胺五氯二矽烷作為矽前驅體的第一氣體饋送到包括所述基底的腔室中以在具有所述高度差的所述結構上形成具有原子層厚度的矽前驅體層,以及將包含氮的第二氣體饋送到包括所述基底的所述腔室中使得所述第二氣體與所述矽前驅體層反應以在具有所述高度差的所述結構上形成具有原子層厚度的氮化矽層。
  2. 如申請專利範圍第1項所述的製造半導體裝置的方法,其中形成所述第一介電層包括通過將第一吹洗氣體饋送到所述腔室中來吹洗所述第一氣體的未反應部分以及通過將第二吹洗氣體饋送到所述腔室中來吹洗所述第二氣體的未反應部分。
  3. 如申請專利範圍第1項所述的製造半導體裝置的方法,其中形成所述第一介電層包括在300℃到400℃的溫度下以0.8埃/循環或高於0.8埃/循環的每循環生長速率來沉積所述矽前驅體。
  4. 如申請專利範圍第3項所述的製造半導體裝置的方法,其中形成所述第一介電層包括在300℃的溫度下以0.9埃/循環或高於0.9埃/循環的每循環生長速率來沉積所述矽前驅體。
  5. 如申請專利範圍第1項所述的製造半導體裝置的方法,其中形成所述介電層結構更包括在所述基底與所述第一介電層之間形成包含氧化矽的第二介電層。
  6. 如申請專利範圍第5項所述的製造半導體裝置的方法,其中具有所述高度差的所述結構包括全域位元線,且形成所述介電層結構更包括在所述全域位元線上形成所述第二介電層,以及在所述第二介電層上形成所述第一介電層。
  7. 如申請專利範圍第5項所述的製造半導體裝置的方法,其中具有所述高度差的所述結構包括電荷儲存層,且形成所述介電層結構更包括在所述電荷儲存層上形成所述第二介電層,以及在所述第二介電層上形成所述第一介電層。
  8. 如申請專利範圍第1項所述的製造半導體裝置的方法,其中具有所述高度差的所述結構包括閘極,且形成所述第一介電層更包括在所述閘極的側壁上形成所述第一介電層。
  9. 如申請專利範圍第1項所述的製造半導體裝置的方法,其中所述第二氣體包括氮氣及氨氣中的至少一個。
  10. 一種製造半導體裝置的方法,包括:在基底上形成結構;以及使用原子層沉積方法在腔室內在所述結構上形成氮化矽層,其中形成所述氮化矽層包括將包含五氯二矽烷及二異丙基胺基五氯二矽烷中的一個作為矽前驅體的第一氣體饋送到所述腔室中以形成具有原子層厚度的矽前驅體層,通過將第一吹洗氣體饋 送到所述腔室中來吹洗所述第一氣體的未反應部分,將包含氮的第二氣體饋送到所述腔室中使得所述第二氣體與所述矽前驅體層反應以在所述結構上形成具有原子層厚度的所述氮化矽層,並通過將第二吹洗氣體饋送到所述腔室中來吹洗所述第二氣體的未反應部分。
  11. 如申請專利範圍第10項所述的製造半導體裝置的方法,其中形成所述氮化矽層包括在所述基底上形成第一閘極圖案及第二閘極圖案,形成絕緣層圖案以覆蓋所述第一閘極圖案及所述第二閘極圖案,在所述絕緣層圖案中在所述第一閘極圖案與所述第二閘極圖案之間形成溝槽,以及在所述溝槽的側壁上形成所述氮化矽層。
  12. 如申請專利範圍第10項所述的製造半導體裝置的方法,其中所述結構具有10或大於10的縱橫比。
  13. 如申請專利範圍第10項所述的製造半導體裝置的方法,更包括使用所述氮化矽層作為罩幕層來蝕刻所述結構。
  14. 如申請專利範圍第10項所述的製造半導體裝置的方法,更包括在300℃或高於300℃且低於350℃的溫度下沉積所述矽前驅體。
  15. 如申請專利範圍第10項所述的製造半導體裝置的方法,更包括通過重複地執行所述氮化矽層的形成來增大所述氮化矽層的厚度。
  16. 一種通過原子層沉積在靶物體上形成介電層的方法,所述靶物體包括至少一個具有側壁的結構特徵,所述通過原子層沉積在靶物體上形成介電層的方法包括:將包含五氯二矽烷或二異丙胺五氯二矽烷的第一氣體饋送到包括所述靶物體的腔室中,以在所述靶物體上形成具有原子層厚度的矽前驅體層;將第一吹洗氣體饋送到所述腔室中以從所述腔室吹洗掉所述第一氣體的未反應的或未吸附的部分;將第二氣體饋送到所述腔室中,所述第二氣體為氮氣或含有氮化合物的氣體,使得所述第二氣體與所述矽前驅體層反應以在所述靶物體上形成具有原子層厚度的氮化矽層;將第二吹洗氣體饋送到所述腔室中以從所述腔室吹洗掉所述第二氣體的未反應的或未吸附的部分;以及重複地饋送所述第一氣體、饋送所述第一吹洗氣體、饋送所述第二氣體及饋送所述第二吹洗氣體,以將所述介電層形成為包括厚度大於原子層厚度的氮化矽層,其中形成所述介電層是在300℃到400℃的溫度下以0.8埃/循環或高於0.8埃/循環的每循環生長速率在所述腔室中實施。
  17. 如申請專利範圍第16項所述的通過原子層沉積在靶物體上形成介電層的方法,更包括以下中的至少一個:在形成具有原子層厚度的所述氮化矽層之前,在所述靶物體上形成氧化矽層,或 在所形成的包括厚度大於原子層厚度的所述氮化矽層的所述介電層上形成氧化矽層。
  18. 如申請專利範圍第16項所述的通過原子層沉積在靶物體上形成介電層的方法,其中所述具有側壁的結構特徵是縱橫比為10或大於10的溝槽或凹槽。
  19. 如申請專利範圍第16項所述的通過原子層沉積在靶物體上形成介電層的方法,更包括在約850℃到約1,050℃的溫度下對所形成的所述介電層執行熱處理。
TW106146025A 2017-01-25 2017-12-27 製造半導體裝置的方法及形成介電層的方法 TWI759392B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/414,913 US10049882B1 (en) 2017-01-25 2017-01-25 Method for fabricating semiconductor device including forming a dielectric layer on a structure having a height difference using ALD
US15/414,913 2017-01-25

Publications (2)

Publication Number Publication Date
TW201841259A TW201841259A (zh) 2018-11-16
TWI759392B true TWI759392B (zh) 2022-04-01

Family

ID=62906532

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106146025A TWI759392B (zh) 2017-01-25 2017-12-27 製造半導體裝置的方法及形成介電層的方法

Country Status (5)

Country Link
US (1) US10049882B1 (zh)
JP (1) JP7112631B2 (zh)
KR (1) KR20180087807A (zh)
CN (1) CN108346559A (zh)
TW (1) TWI759392B (zh)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7092574B2 (ja) 2018-06-26 2022-06-28 株式会社日立製作所 人流予測方法及び人流予測システム
JP7089967B2 (ja) * 2018-07-17 2022-06-23 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
CN109326518B (zh) * 2018-08-17 2020-11-03 上海华力微电子有限公司 一种形成具有高深宽比图形的结构的方法
US10985010B2 (en) 2018-08-29 2021-04-20 Versum Materials Us, Llc Methods for making silicon and nitrogen containing films
WO2020072625A1 (en) 2018-10-03 2020-04-09 Versum Materials Us, Llc Methods for making silicon and nitrogen containing films
US10943989B2 (en) 2019-03-08 2021-03-09 International Business Machines Corporation Gate to source/drain leakage reduction in nanosheet transistors via inner spacer optimization
US11368016B2 (en) 2020-03-18 2022-06-21 Mavagail Technology, LLC ESD protection for integrated circuit devices

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201036108A (en) * 2009-03-31 2010-10-01 Applied Materials Inc Method of selective nitridation
TW201511273A (zh) * 2013-09-11 2015-03-16 Toshiba Kk 半導體裝置及其製造方法
US20160111272A1 (en) * 2015-12-28 2016-04-21 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Vapor deposition of silicon-containing films using penta-substituted disilanes
TW201641505A (zh) * 2015-03-30 2016-12-01 液態空氣喬治斯克勞帝方法研究開發股份有限公司 形成含矽膜之組成物及其使用方法

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10287413A (ja) 1997-02-13 1998-10-27 Mitsui Chem Inc 多結晶シリコン製造装置
US20080026149A1 (en) 2006-05-31 2008-01-31 Asm America, Inc. Methods and systems for selectively depositing si-containing films using chloropolysilanes
JP2008066734A (ja) * 2006-09-08 2008-03-21 Samsung Electronics Co Ltd 不揮発性メモリー構造及び該形成方法
KR20110084517A (ko) 2008-10-20 2011-07-25 다우 코닝 코포레이션 Cvd 전구체
US8703625B2 (en) 2010-02-04 2014-04-22 Air Products And Chemicals, Inc. Methods to prepare silicon-containing films
JP2011187498A (ja) * 2010-03-04 2011-09-22 Renesas Electronics Corp 半導体装置の製造方法
US9287113B2 (en) * 2012-11-08 2016-03-15 Novellus Systems, Inc. Methods for depositing films on sensitive substrates
JP2011233677A (ja) * 2010-04-27 2011-11-17 Toshiba Corp 不揮発性半導体記憶装置
JP2012104695A (ja) * 2010-11-11 2012-05-31 Elpida Memory Inc 半導体装置の製造方法
KR101369364B1 (ko) * 2012-01-09 2014-03-06 삼성전자주식회사 형광체 디스펜서
US9337018B2 (en) 2012-06-01 2016-05-10 Air Products And Chemicals, Inc. Methods for depositing films with organoaminodisilane precursors
WO2014015237A1 (en) 2012-07-20 2014-01-23 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Organosilane precursors for ald/cvd silicon-containing film applications
JP5914240B2 (ja) 2012-08-07 2016-05-11 株式会社トクヤマ 多結晶シリコンの製造方法
US9796739B2 (en) 2013-06-26 2017-10-24 Versum Materials Us, Llc AZA-polysilane precursors and methods for depositing films comprising same
US20150303060A1 (en) 2014-04-16 2015-10-22 Samsung Electronics Co., Ltd. Silicon precursor, method of forming a layer using the same, and method of fabricating semiconductor device using the same
WO2016191199A1 (en) * 2015-05-22 2016-12-01 Dow Corning Corporation Diisopropylaminopentachlorodisilane
WO2016191194A1 (en) * 2015-05-22 2016-12-01 Dow Corning Corporation Pentachlorosilane

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201036108A (en) * 2009-03-31 2010-10-01 Applied Materials Inc Method of selective nitridation
TW201511273A (zh) * 2013-09-11 2015-03-16 Toshiba Kk 半導體裝置及其製造方法
TW201641505A (zh) * 2015-03-30 2016-12-01 液態空氣喬治斯克勞帝方法研究開發股份有限公司 形成含矽膜之組成物及其使用方法
US20160111272A1 (en) * 2015-12-28 2016-04-21 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Vapor deposition of silicon-containing films using penta-substituted disilanes

Also Published As

Publication number Publication date
JP2018121057A (ja) 2018-08-02
US20180211842A1 (en) 2018-07-26
US10049882B1 (en) 2018-08-14
TW201841259A (zh) 2018-11-16
CN108346559A (zh) 2018-07-31
JP7112631B2 (ja) 2022-08-04
KR20180087807A (ko) 2018-08-02

Similar Documents

Publication Publication Date Title
TWI759392B (zh) 製造半導體裝置的方法及形成介電層的方法
TWI716609B (zh) 形成介電膜的方法以及製造半導體裝置的方法
TWI754823B (zh) 半導體裝置及其形成方法
US10727064B2 (en) Post UV cure for gapfill improvement
US11114545B2 (en) Cap layer and anneal for gapfill improvement
US11903209B2 (en) Vertical semiconductor device and method for fabricating the same
US20230077541A1 (en) Selective High-K Formation in Gate-Last Process
JP2022016371A (ja) 半導体装置及び方法
TWI813200B (zh) 積體電路結構及其形成方法
US20220336584A1 (en) Nanosheet field-effect transistor device and method of forming
US20240021680A1 (en) Seam-Filling of Metal Gates with Si-Containing Layers
US20240088150A1 (en) Integrated circuit device
TW202310074A (zh) 半導體裝置
TW202320229A (zh) 半導體結構的形成方法
TW202336839A (zh) 積體電路結構與其形成方法