JP2022016371A - 半導体装置及び方法 - Google Patents

半導体装置及び方法 Download PDF

Info

Publication number
JP2022016371A
JP2022016371A JP2021113141A JP2021113141A JP2022016371A JP 2022016371 A JP2022016371 A JP 2022016371A JP 2021113141 A JP2021113141 A JP 2021113141A JP 2021113141 A JP2021113141 A JP 2021113141A JP 2022016371 A JP2022016371 A JP 2022016371A
Authority
JP
Japan
Prior art keywords
layer
gate structure
silicon
forming
opening
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2021113141A
Other languages
English (en)
Inventor
雅嵐 張
ya lan Zhang
亭綱 陳
Ting Gang Chen
泰鈞 ▲黄▼
Tai-Chun Huang
志安 徐
Zhi An Xu
永誠 盧
Yung-Cheng Lu
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of JP2022016371A publication Critical patent/JP2022016371A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28123Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823481MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02233Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer
    • H01L21/02236Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor
    • H01L21/02238Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor silicon in uncombined form, i.e. pure silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • H01L21/76227Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials the dielectric materials being obtained by full chemical transformation of non-dielectric materials, such as polycristalline silicon, metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823878Complementary field-effect transistors, e.g. CMOS isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)
  • Bipolar Transistors (AREA)

Abstract

【課題】最小フィーチャサイズを縮小することができる半導体装置及び方法を提供する。
【解決手段】方法は、半導体装置のメタルゲート構造のカットメタルゲート領域に開口部を形成する工程と、開口部に第1の誘電層をコンフォーマルに堆積する工程と、第1の誘電層上にシリコン層をコンフォーマルに堆積する工程と、シリコン層上で酸化プロセスをして第1の酸化ケイ素層を形成する工程と、開口部に第2のシリコン酸化物層を充填する工程と、第2のシリコン酸化物層及び第1の誘電層に対して、半導体装置のメタルゲート構造を露出させる化学機械研磨を行ってカットメタルゲートプラグを形成する工程と、カットメタルゲートプラグにより分離されるメタルゲート構造の第1の部分に第1のコンタクトを形成し、メタルゲート構造の第2の部分に第2のコンタクトを形成する工程と、を含む。
【選択図】なし

Description

半導体装置は、パーソナルコンピュータ、携帯電話、デジタルカメラ、及びその他の電子機器などの様々な電子アプリケーションで使用される。半導体装置は、一般的に、絶縁層または誘電層、導電層、および材料の半導体層を半導体基板上に順次堆積し、リソグラフィを使用して様々な材料層をパターニングして、その上に回路コンポーネントと要素を形成することによって製造される。
半導体業界は、最小フィーチャサイズを継続的に削減することにより、様々な電子部品(例えば、トランジスタ、ダイオード、抵抗、コンデンサなど)の集積密度を向上させ続け、これにより、特定の領域に多くのコンポーネントを統合できる。ただし、最小フィーチャサイズが縮小されると、対処する必要のある追加の問題が発生する。
本発明の態様は、添付図面を参照しながら、以下の詳細な説明から最もよく理解される。業界の標準的技法に従って、様々なフィーチャが一定のスケールで描かれていないことに注意すべきである。実際、様々なフィーチャの寸法は、説明を明確にするために任意に増減できる。
いくつかの実施形態による、FinFETの製造における中間段階を示す。 いくつかの実施形態による、FinFETの製造における中間段階を示す。 いくつかの実施形態による、FinFETの製造における中間段階を示す。 いくつかの実施形態による、FinFETの製造における中間段階を示す。 いくつかの実施形態による、FinFETの製造における中間段階を示す。 いくつかの実施形態による、FinFETの製造における中間段階を示す。 いくつかの実施形態による、FinFETの製造における中間段階を示す。 いくつかの実施形態による、FinFETの製造における中間段階を示す。 いくつかの実施形態による、FinFETの製造における中間段階を示す。 いくつかの実施形態による、FinFETの製造における中間段階を示す。 いくつかの実施形態による、FinFETの製造における中間段階を示す。 いくつかの実施形態による、FinFETの製造における中間段階を示す。 いくつかの実施形態による、FinFETの製造における中間段階を示す。 いくつかの実施形態による、FinFETの製造における中間段階を示す。 いくつかの実施形態による、FinFETの製造における中間段階を示す。 いくつかの実施形態による、FinFETの製造における中間段階を示す。 いくつかの実施形態による、カットメタルゲートを有するFinFETの製造における中間段階を示す。 いくつかの実施形態による、カットメタルゲートを有するFinFETの製造における中間段階を示す。 いくつかの実施形態による、カットメタルゲートを有するFinFETの製造における中間段階を示す。 いくつかの実施形態による、カットメタルゲートを有するFinFETの製造における中間段階を示す。 いくつかの実施形態による、カットメタルゲートを有するFinFETの製造における中間段階を示す。 いくつかの実施形態による、カットメタルゲートを有するFinFETの製造における中間段階を示す。 いくつかの実施形態による、カットメタルゲートを有するFinFETの製造における中間段階を示す。 いくつかの実施形態による、カットメタルゲートを有するFinFETの製造における中間段階を示す。 いくつかの実施形態による、カットメタルゲートを有するFinFETの製造における中間段階を示す。 いくつかの実施形態による、カットメタルゲートを有するFinFETの製造における中間段階を示す。 いくつかの実施形態による、カットメタルゲートを有するFinFETの製造における中間段階を示す。 いくつかの実施形態による、カットメタルゲートを有するFinFETの製造における中間段階を示す。 いくつかの実施形態による、カットメタルゲートを有するFinFETの製造における中間段階を示す。 いくつかの実施形態による、カットメタルゲートを有するFinFETの製造における中間段階を示す。 いくつかの実施形態による、カットメタルゲートを有するFinFETの製造における中間段階を示す。 いくつかの実施形態による、カットメタルゲートを有するFinFETの製造における中間段階を示す。 いくつかの実施形態による、カットメタルゲートを有するFinFETの製造における中間段階を示す。 いくつかの実施形態による、カットメタルゲートを有するFinFETの製造における中間段階を示す。 いくつかの実施形態による、カットメタルゲートを有するFinFETの製造における中間段階を示す。 いくつかの実施形態による、カットメタルゲートを有するFinFETの製造における中間段階を示す。 いくつかの実施形態による、カットメタルゲートを有するFinFETの製造における中間段階を示す。 いくつかの実施形態による、カットメタルゲートを有するFinFETの製造における中間段階を示す。 いくつかの実施形態による、カットメタルゲートを有するFinFETの製造における中間段階を示す。 いくつかの実施形態による、カットメタルゲートを有するFinFETの製造における中間段階を示す。 いくつかの実施形態による、カットメタルゲートを有するFinFETの製造における中間段階を示す。 いくつかの実施形態による、カットメタルゲートを有するFinFETの製造における中間段階を示す。 いくつかの実施形態による、カットメタルゲートを有するFinFETの製造における中間段階を示す。 いくつかの実施形態による、カットメタルゲートを有するFinFETの製造における中間段階を示す。 いくつかの実施形態による、カットメタルゲートを有するFinFETの製造における中間段階を示す。 いくつかの実施形態による、カットメタルゲートを有するFinFETの製造における中間段階を示す。 いくつかの実施形態による、カットメタルゲートを有するFinFETの製造における中間段階を示す。 いくつかの実施形態による、カットメタルゲートを有するFinFETの製造における中間段階を示す。 いくつかの実施形態による、カットメタルゲートを有するFinFETの製造における中間段階を示す。 いくつかの他の実施形態による、カットメタルゲートを有するFinFETの製造における中間段階を示す。 いくつかの他の実施形態による、カットメタルゲートを有するFinFETの製造における中間段階を示す。 いくつかの他の実施形態による、カットメタルゲートを有するFinFETの製造における中間段階を示す。 いくつかの他の実施形態による、カットメタルゲートを有するFinFETの製造における中間段階を示す。 いくつかの他の実施形態による、カットメタルゲートを有するFinFETの製造における中間段階を示す。 いくつかの他の実施形態による、カットメタルゲートを有するFinFETの製造における中間段階を示す。 いくつかの他の実施形態による、カットメタルゲートを有するFinFETの製造における中間段階を示す。 いくつかの他の実施形態による、カットメタルゲートを有するFinFETの製造における中間段階を示す。 いくつかの他の実施形態による、カットメタルゲートを有するFinFETの製造における中間段階を示す。 いくつかの実施形態による、カットメタルゲートを有するFinFETの製造における追加の段階に続く半導体装置を示す。 いくつかの他の実施形態による、カットメタルゲートを有するFinFETの製造における中間段階を示す。 いくつかの他の実施形態による、カットメタルゲートを有するFinFETの製造における中間段階を示す。 いくつかの他の実施形態による、カットメタルゲートを有するFinFETの製造における中間段階を示す。 いくつかの他の実施形態による、カットメタルゲートを有するFinFETの製造における中間段階を示す。 いくつかの他の実施形態による、カットメタルゲートを有するFinFETの製造における中間段階を示す。 いくつかの他の実施形態による、カットメタルゲートを有するFinFETの製造における中間段階を示す。
以下の開示は、本発明の異なる特徴を実施するための多くの異なる実施形態または例を提供する。以下、本開示を簡略化するために、コンポーネントおよび配置の特定の例を説明する。もちろん、これらは、一例に過ぎず、これらに限定されるものではない。例えば、以下の説明における第二特徴での第一特徴の形成は、第一及び第二特徴が直接接触して形成される実施形態を含み得て、また第一特徴と第二特徴とが直接接触していなくてもよいように、第一特徴と第二特徴との間に追加の特徴が形成され得る実施形態を含み得る。また、本開示は、様々な例において符号及び/又は文字を繰り返してもよい。この繰り返しは、簡略さと明瞭性を目的としており、それ自体では、説明した様々な実施形態及び/又は構成の間の関係を示すものではない。
さらに、図に示されているように、ある要素または特徴と別の要素または特徴との関係を説明しやすくするために、「下方」、「下」、「下部」、「上」、「上部」などのような空間的に相対的な用語を本明細書で使用することができる。空間的に相対的な用語は、図に示されている方向に加えて、使用中又は動作中の装置の異なる方向を包含することを意図している。該装置は、他の方向に向けてもよく(90度又は他の方向に回転されてもよい)、本明細書で使用される空間的に相対的な記述子は、同様にそれに応じて解釈され得る。
本開示は、半導体装置およびその製造方法に関する。特定の実施形態は、カットメタルゲート(CMG)を備えたフィン電界効果トランジスタ(FinFET)と、半導体装置を製造する方法とを含む半導体装置に関する。本明細書に開示される実施形態は、ウェーハ内の複数のFinFETの形成に関する。
本明細書で説明する実施形態は、特定の状況、すなわち、装置の閾値電圧を低下させることなく、装置の抵抗容量性遅延を改善するCMGトレンチ内に形成されるカットメタルゲート(CMG)分離構造で説明することができる。いくつかの実施形態では、CMG分離構造は、窒化ケイ素層、シリコン層、および酸化ケイ素層を備えた複数の層を含む。いくつかの実施形態では、窒化ケイ素層は、後続の処理中にゲートスタックの材料がCMGトレンチに拡散するのを防ぐためのバリア層として機能する。いくつかの実施形態では、シリコン層は、下にあるバリア層およびゲートスタックの酸化に対するバリアとして機能する。シリコン層は下にある層を酸化から保護するため、シリコン層上に酸化ケイ素層を形成することができ、これにより、CMG分離構造の誘電率が低下する。さらに、下にある層(例えば、ゲートスタック)を酸化から保護することにより、CMGアプローチを利用しながら、装置の閾値電圧を維持することができる。
図1A~図5Cは、いくつかの実施形態による、FinFETの製造における中間段階を示す。図1A、図2A、図3A、図4A及び図5Aは、立体図である。図1B、図2B、図3B、図4B及び図5Bは、FinFETの長手方向軸に沿って、例えば、FinFETのソース/ドレイン領域間の電流の流れの方向に垂直に示される断面図であり、単一のFinFETについて示す。図1C、図2C、図3C、図4C及び図5Cは、FinFETの緯度軸に沿って示される断面図であり、たとえば、FinFETのソース/ドレイン領域間の電流の流れの方向に平行であり、単一のFinFETについて示す。図2Dは、FinFETのソース/ドレイン領域を通るFinFETの長手方向軸に沿って示される断面図である。
ここで説明するいくつかの実施形態は、ゲートラストプロセスを使用して形成されたFinFETのコンテキストで説明される。他の実施形態では、ゲートファーストプロセスを使用してもよい。また、いくつかの実施形態は、平面FETなどの平面装置で使用される態様を熟慮する。
図1A~図1Cにおいては、基板50が設けられる。基板50は、バルク半導体、半導体オン絶縁体(SOI)基板、歪みSOI基板、絶縁体基板上のシリコンゲルマニウムなどのような半導体基板であってもよく、これらは、(例えば、p型またはn型ドーパントで)ドープされていても、ドープされていなくてもよい。基板50は、シリコンウェーハなどのウェーハであり得る。多層または勾配基板などの他の基板も使用され得る。(NMOSトランジスタ、たとえばn型FinFETなど)n型装置を形成するため、または、(p型FinFETなどのPMOSトランジスタなど)p型装置を形成するための基板50の1つの領域が示される。基板50は、任意の数の装置特徴(例えば、他のアクティブ装置、ドープされた領域、分離構造など)が形成され得る、複数の物理的に分離された領域を含み得る。
さらに、フィン52は、基板50から延びるように形成される。フィン52は、半導体ストリップである。示される実施形態では、フィン52は、基板50の材料とは異なる、エピタキシャル成長した半導体材料である。フィン52は、シリコン、シリコンゲルマニウム(SiGe1-x、xは0から1の範囲にすることができる)、炭化ケイ素、純粋または実質的に純粋なゲルマニウム、III-V化合物半導体、II-VI化合物半導体などで形成され得る。例えば、III-V化合物半導体を形成するために利用可能な材料には、InAs、AlAs、GaAs、InP、GaN、InGaAs、InAlAs、GaSb、AlSb、AlP、GaPなどが含まれるが、これらに限定されない。示される実施形態では、フィン52は、基板50上に半導体材料の層をエピタキシャル成長させ、次に半導体材料にトレンチ54をエッチングすることによって形成され、フィン52は、除去されないままの半導体材料の部分から形成される。エッチングは、反応性イオンエッチング(RIE)、中性粒子ビームエッチング(NBE)など、またはそれらの組み合わせなどの任意の許容可能なエッチングプロセスであり得る。エッチングは異方性であってもよい。他の実施形態では、フィン52は、基板50と同じ材料であり、基板50にトレンチをエッチングすることによって形成される。以下で説明するように、フィン52は、FinFETのチャネル領域を形成するために使用される。2つのフィン52のみが示されるが、任意の数のフィン52を形成してもよいことを理解すべきである。
フィン52は、任意の適切な方法によってパターニングされ得る。例えば、フィン52は、ダブルパターニングまたはマルチパターニングプロセスを含む、1つまたは複数のフォトリソグラフィプロセスを使用してパターニングされ得る。一般に、ダブルパターニングまたはマルチパターニングプロセスは、フォトリソグラフィと自己整合プロセスを組み合わせて、たとえば、単一の直接フォトリソグラフィプロセスを使用して得られるものよりも小さいピッチを有するパターンを作成することを可能にする。例えば、一実施形態では、犠牲層が基板上に形成され、フォトリソグラフィプロセスを使用してパターニングされる。スペーサーは、自己整合プロセスを使用して、パターニングされた犠牲層に沿って形成される。次に、犠牲層が除去され、残りのスペーサーを使用してフィンをパターニングすることができる。
当業者が認識するように、フィン52を形成するための上記プロセスおよび材料は、単なる例示的なプロセスであり、唯一の実施形態であることを意味するものではない。むしろ、フィン52を形成することができる任意の適切なプロセスを利用することができ、任意の数のマスキングおよび除去ステップを含む任意の適切なプロセスを使用することができる。形成されると、これらのフィン52は、以下で論じられるように、複数のFinFETトランジスタのチャネル領域およびソース/ドレイン(S/D)領域を形成するために使用され得る。
フィン52は、基板50の表面に幅Wで形成され得る。いくつかの実施形態では、幅Wは、約6nmから約600nmの範囲にある。さらに、フィン52は、距離Dだけ互いに離隔している。このような方法でフィン52の間隔を空けることにより、フィン52はそれぞれ、共通のゲートを共有するのに十分に接近している間に、別個のチャネル領域を形成することができる。以下でさらに説明するように、距離Dは、FinFETのゲートへのその後に形成される接点の接触抵抗(R)を低減するのを助けるように選択される。いくつかの実施形態では、距離Dは、約22nmから約800nmの範囲などで大きい。いくつかの実施形態では、距離Dは、約22nmから約200nmの範囲などで、小さい。
さらに、フィン52の間にシャロートレンチアイソレーション(STI)領域56が形成される。STI領域56は、トレンチ54に誘電材料を充填し、トレンチ54内に誘電材料を凹ませてSTI領域56を形成することによって形成することができる。誘電材料は、酸化物材料、高密度プラズマ(HDP)酸化物などであり得る。誘電材料は、トレンチ54の任意の洗浄およびライニングの後に、化学蒸着(CVD)法、高密度プラズマCVD法、または当技術分野で知られている他の適切な形成方法を使用して形成され得る。
トレンチ54および基板50を誘電材料で過剰充填し、次に、化学機械研磨(CMP)、エッチング、それらの組み合わせなどの適切なプロセスを通じて、トレンチ54およびフィン52の外側の余分な材料を除去することにより、トレンチ54を充填することができる。一実施形態では、除去プロセスは、フィン52の上にある誘電材料を除去し、その結果、フィン52の上面が露出する。
トレンチ54が誘電材料で満たされると、誘電材料は、フィン52の上面から凹まされてもよい。凹みは、フィン52の上面に隣接するフィン52の側壁の少なくとも一部を露出させるために実行され得る。誘電材料は、Hなどの他のエッチャント、および反応性イオンエッチングなどの他の方法、NH/NFなどのエッチャントを使用したドライエッチング、化学酸化物除去、または化学洗浄が使用されても、フィン52の上面をHFなどのエッチャントに浸漬することにより、ウェットエッチングを使用して凹ませることができる。誘電材料は、フィン52の露出部分が第1の高さHを有するように、凹んでいる。いくつかの実施形態では、第1の高さHは、約40Åから約100Åの範囲にある。さらに、凹みはまた、フィン52上に位置する残りの誘電材料を除去し、さらなる処理のためにフィン52を露出させることができる。
上記ステップは、誘電材料を充填および凹ませるために使用されるプロセスフロー全体の一部にすぎない場合がある。例えば、ライニングステップ、洗浄ステップ、アニーリングステップ、ギャップ充填ステップ、これらの組み合わせなどを利用して、トレンチを形成し、誘電材料で充填することもできる。潜在的なプロセスステップのすべては、本実施形態の範囲内に含まれることを完全に意図している。
図2A~図2Cにおいて、ダミーゲート誘電体58およびダミーゲート電極60が、フィン52のそれぞれの上に形成される。いくつかの実施形態では、ダミーゲート誘電体層は、熱酸化、化学蒸着、スパッタリング、または誘電層を形成するための当技術分野で知られ使用されている他の任意の方法によって形成される。ゲート誘電体形成の技術に応じて、フィン52の上部のダミーゲート誘電層の厚さは、フィン52の側壁のダミーゲート誘電体層の厚さとは異なる場合がある。
ダミーゲート誘電層は、約3Åから約100Åの間、例えば約10Åの厚さを有する二酸化ケイ素または酸窒化ケイ素などの材料を含み得る。ダミーゲート誘電層は、酸化ランタン(La)、酸化アルミニウム(Al)、酸化ハフニウム(HfO)、ハフニウム酸窒化物(HfON)、酸化ジルコニウム(ZrO)、またはそれらの組み合わせなどの高誘電率(高k)材料(例えば、約5よりも大きい比誘電率を有する)から形成することができ、等価酸化物の厚さは、約0.5Åから約100Åの間、例えば、約10Å以下である。さらに、二酸化ケイ素、酸窒化ケイ素、および/または高k材料の任意の組み合わせも、ダミーゲート誘電層に使用することができる。
次に、ダミーゲート電極層がダミーゲート誘電層上に形成される。ダミーゲート電極層は、多結晶シリコン(ポリシリコン)、例えば、ダミーポリシリコン(DPO)、多結晶シリコンゲルマニウム(ポリSiGe)、金属窒化物、金属ケイ化物、金属酸化物、例えば、W、Al、Cu、AlCu、W、Ti、TiAlN、TaC、TaCN、TaSiN、Mn、Zr、TiN、Ta、TaN、Co、Ni、これらの組み合わせなどを含む金属などの導電性材料から形成することができる。ダミーゲート電極層は、物理蒸着(PVD)、化学蒸着(CVD)、スパッタ堆積などの堆積プロセスによって形成することができる。ダミーゲート電極層の厚さは、約5Åから約200Åの間であり得る。ダミーゲート電極層の上面は、非平面の上面を有し得、ダミーゲート電極層のパターニングまたはゲートエッチングプロセスを実行する前に平坦化され得る。この時点で、イオンがダミーゲート電極層に導入される場合と導入されない場合がある。イオンは、例えば、イオン注入技術によって導入され得る。
次に、ダミーゲート電極層およびダミーゲート誘電体層は、許容可能なフォトリソグラフィおよびエッチングプロセスなどによってパターニングされ、ダミーゲート誘電層およびダミーゲート電極層の残りの部分がそれぞれ、ダミーゲート誘電体58およびダミーゲート電極60(「ダミーゲート」と総称する)を形成する。ダミーゲートは、ダミーゲート誘電層の下のフィン52の両側に配置された複数のチャネル領域を定義する。ダミーゲートは、例えば、任意の適切な堆積およびフォトリソグラフィ技術を使用して、ダミーゲート電極層上にゲートマスクを堆積およびパターニングすることによって形成され得る。ゲートマスクは、酸化ケイ素、酸窒化ケイ素、SiCON、SiC、SiOC、および/または窒化ケイ素など(ただしこれらに限定されない)の任意の適切なマスキングおよび犠牲材料を組み込むことができ、約5Åから約200Åの厚さに堆積することができる。ダミーゲート電極層およびダミーゲート誘電層は、パターニングされたダミーゲートを形成するために、ドライエッチングプロセスを使用してエッチングされ得る。
さらに、ゲートスペーサー62は、フィン52のそれぞれの上で、ダミーゲート電極60の反対側に形成される。いくつかの実施形態では、ゲートスペーサー62は、例えば、以前に形成された構造上にスペーサー層をブランケット堆積することによって形成される。スペーサー層は、SiCON、SiN、酸窒化物、SiC、SiON、SiOC、酸化物などを含み得、化学蒸着(CVD)、プラズマ増強化学蒸着(PECVD)、スパッタ、および他の任意の適切な方法など、そのような層を形成するための任意の適切な方法によって形成され得る。スペーサー層は、異なるエッチング特性を有する異なる材料、またはSTI領域56内の誘電材料と同じ材料を含み得る。次に、スペーサー層は、スペーサー層の水平部分を除去するための1つまたは複数のエッチングなどによってパターニングされ、スペーサー層の残りの垂直部分は、ゲートスペーサー62を形成する。
いくつかの実施形態では、ゲートスペーサー62が形成されると、ダミーゲートおよびゲートスペーサー62によって保護されていないフィン52の部分の除去は、ダミーゲートおよびゲートスペーサー62をハードマスクとして使用する反応性イオンエッチング(RIE)を使用して、または他の適切な除去プロセスを使用することによって除去され得る。除去プロセスは、フィン52がSTI領域56の表面と平面であるか、または表面の下にあるまで継続することができる。
エピタキシャルソース/ドレイン領域64は、フィン52内に形成され、その結果、各ダミーゲート電極60は、エピタキシャルソース/ドレイン領域64のそれぞれの隣接する対の間に横方向に配置される。エピタキシャルソース/ドレイン領域64は、結果として生じるFinFETのチャネル領域となるものに応力を加え、それによって性能を改善する。ゲートスペーサー62は、エピタキシャルソース/ドレイン領域64をダミーゲート電極60から適切な横方向距離だけ分離し、その結果、エピタキシャルソース/ドレイン領域64は、結果として生じるFinFETのその後に形成されたゲートを短絡させない。エピタキシャルソース/ドレイン領域64は、フィン52の凹みをエッチングすることによって形成される。次に、その領域内のエピタキシャルソース/ドレイン領域64が、凹み内にエピタキシャル成長する。エピタキシャルソース/ドレイン領域64は、n型またはp型FinFETに適切なものなど、任意の許容可能な材料を含み得る。例えば、n型FinFETが形成される場合、エピタキシャルソース/ドレイン領域64は、シリコン、SiC、SiCP、SiPなどの、フィン52のチャネル領域に引張歪みを及ぼす材料を含み得る。同様に、p型FinFETが形成される場合、エピタキシャルソース/ドレイン領域64は、SiGe、SiGeB、Ge、GeSnなどの、フィン52のチャネル領域に圧縮歪みを及ぼす材料を含み得る。エピタキシャルソース/ドレイン領域64は、フィン52のそれぞれの表面から隆起した表面を有し得、ファセットを有し得る。
フィン52がシリコンを含み、かつFinFETがp型装置である実施形態では、ソース/ドレイン領域64は、チャネル領域とは異なる格子定数を有するシリコン、シリコンゲルマニウム、シリコンリンなどの材料で再成長させることができる。エピタキシャル成長プロセスは、シラン、ジクロロシラン、ゲルマンなどの前駆体を使用することができ、約5分から約120分、例えば約30分の間継続することができる。他の実施形態では、ソース/ドレイン領域64は、GaAs、GaP、GaN、InP、InAs、InSb、GaAsP、AlGaN、AlInAs、AlGaAs、GaInAs、GaInP、および/またはGaInAsP、それらの組み合わせなどの材料を含み得る。
いくつかの実施形態では、ソース/ドレイン領域64が形成されると、フィン52内のドーパントを補完するために適切なドーパントを注入することによって、ドーパントをソース/ドレイン領域64に注入することができる。例えば、ホウ素、ガリウム、インジウムなどのp型ドーパントを注入して、PMOS装置を形成することができる。または、リン、ヒ素、アンチモンなどのn型ドーパントを注入して、NMS装置を形成することができる。これらのドーパントは、ダミーゲートおよびゲートスペーサー62をマスクとして使用して注入することができる。しかしながら、他の任意の適切なプロセス、ステップなどを使用して、ドーパントを注入することができる。例えば、スペーサーおよびライナーの様々な組み合わせを使用して複数の注入プロセスを実行して、特定の目的に適した特定の形状または特性を有するソース/ドレイン領域を形成することができる。これらのプロセスのいずれかを使用してドーパントを注入することができ、上記説明は、本実施形態を上記に提示されたステップに限定することを意味するものではない。
エピタキシャルソース/ドレイン領域64を形成するために使用されるエピタキシープロセスの結果として、エピタキシャルソース/ドレイン領域64の上面は、フィン52の側壁を越えて横方向に外向きに拡張するファセットを有する。図2A~図2Cに示される実施形態では、隣接するエピタキシャルソース/ドレイン領域64は、エピタキシープロセスが完了した後も分離されたままである。他の実施形態では、図2Dに示すように、これらのファセットは、同一のFinFETの隣接するエピタキシャルソース/ドレイン領域64をマージさせる。
図3A~図3Cでは、層間誘電体(ILD)66(例えば、ILD0層)が基板50上に堆積される。ILD66は、誘電材料で形成することができ、CVD、PECVD、または流動性CVD(FCVD)などの任意の適切な方法によって堆積され得る。誘電材料には、酸化ケイ素(SiO2)、リンケイ酸ガラス(PSG)、ホウケイ酸ガラス(BSG)、ホウ素ドープリンケイ酸ガラス(BPSG)、非ドープケイ酸ガラス(USG)などが含まれ得る。任意の許容可能なプロセスによって形成された他の断熱材を使用することができる。
いくつかの実施形態では、コンタクトエッチング停止層は、ILD66とエピタキシャルソース/ドレイン領域64、ゲートスペーサー62、およびダミーゲート電極60との間に配置される。コンタクトエッチング停止層は、ILD66の材料とは異なるエッチング速度を有する、窒化ケイ素、酸化ケイ素、酸窒化ケイ素などの誘電材料を含み得、そして、例えば、化学蒸着(CVD)、原子層堆積(ALD)プロセス、プラズマ増強化学蒸着(PECVD)、低圧化学蒸着(LPCVD)などのうちの1つまたは複数を使用して、堆積チャンバ内に堆積され得る。しかしながら、任意の適切な材料および任意の適切なプロセスを利用して、コンタクトエッチング停止層を堆積させることができる。
いくつかの実施形態では、一旦形成されると、ILD66は、例えば、第1のアニーリングプロセスを使用してアニーリングされ得る。一実施形態では、第1のアニーリングプロセスは、基板50およびILD66が、例えば、炉内で、不活性雰囲気中で加熱される熱アニーリングであり得る。第1のアニールプロセスは、約200℃から約1000℃の間の温度、例えば、約500℃で行われ、そして、プロセスは、約60秒から約360分の間の時間、例えば、約240分間継続され得る。
いくつかの実施形態では、CMPなどの平坦化プロセスを実行して、ILD66の上面をダミーゲート電極60およびゲートスペーサー62の上面と水平にする。
図4A~図4Cでは、ダミーゲート電極60およびダミーゲート誘電体58は、1つまたは複数のエッチングステップ、例えば、ウェットエッチングプロセスで除去され、その結果、凹み68が形成される。各凹み68は、それぞれのフィン52のチャネル領域を露出させる。各チャネル領域は、エピタキシャルソース/ドレイン領域64の隣接する対の間に横方向に配置される。除去中、ダミーゲート誘電体58は、ダミーゲート電極60がエッチングされるときのエッチング停止層として使用され得る。次に、ダミーゲート誘電体58は、ダミーゲート電極60の除去後に任意選択で除去され得る。
図5A~図5Cでは、ゲート誘電体70およびゲート電極72が、交換ゲート用に形成されている。交換ゲートは、例えば、ゲート誘電体、1つまたは複数の導電性バリア層、1つまたは複数の仕事関数層、および導電性充填材料を含み得る。ゲート誘電体70は、フィン52の上面および側壁、ならびにゲートスペーサー62の側壁などの凹み68にコンフォーマルに堆積される。ゲート誘電体70はまた、ILD66の上面に形成され得る。いくつかの実施形態によれば、ゲート誘電体70は、酸化ケイ素、窒化ケイ素、またはそれらの多層を含む。
いくつかの実施形態では、ゲート誘電体70は、高k誘電材料を含み、これらの実施形態では、ゲート誘電体70は、約7.0よりも大きいk値を有し得、Hf、Al、Zr、La、Mg、Ba、Ti、Pb、およびそれらの組み合わせの金属酸化物またはケイ酸を含み得る。いくつかの実施形態によれば、高kゲート誘電体には、HfO、ZrO、HfZrO、HfSiO、HfSiON、ZrSiO、HfZrSiO、Al、HfAlO、HfAlN、ZrAlO、La、TiO、Ybなどの材料が含まれ、原子層堆積などの堆積プロセスを使用して形成される単層または複合層であり得る。ただし、任意の適切な材料および任意の適切なプロセスを使用して、高kゲート誘電体を形成することができる。
ゲート誘電体70の形成方法は、分子ビーム蒸着(MBD)、原子層堆積、PECVDなどを含み得る。ダミーゲート誘電体58の一部が凹み68内に残る実施形態では、ゲート誘電体70は、ダミーゲート誘電体58の材料(例えば、SiO)を含む。
ゲート電極72は、それぞれ、ゲート誘電体70上に堆積され、凹み68の残りの部分を充填する。ゲート電極72は、TiN、TiO、TaN、TaC、Co、Ru、Al、W、それらの組み合わせ、またはそれらの多層などの金属含有材料を含み得る。ゲート電極72は、原子層堆積(ALD)などの堆積プロセスによって形成され得る。ゲート電極72は、任意の数のライナー層、仕事関数チューニング層、および充填材料を含み得る。
いくつかの実施形態によれば、1つまたは複数の拡散バリア層および1つまたは複数の仕事関数チューニング層は、複数の堆積層として形成され得る。例えば、バリア層は、シリコンでドープされる(またはドープされない)可能性がある窒化チタン(TiN)の層として形成され得る。P型FinFETの場合、仕事関数チューニング層は、各ゲート電極72を用いて、Ti、Al、TiAl、TiAlN、Ta、TaN、TiAlC、TaAlCSi、TaAlC、TiSiNなどを含む積層層として形成され得る。各ゲート電極72で形成されたn型FinFETの場合、仕事関数チューニング層は、各ゲート電極72を用いて、TiN、TaN、TiAl、W、Ta、Ni、Ptなどを含む積層層として形成され得る。これらの実施形態における仕事関数チューニング層の堆積後、バリア層(例えば、別のTiN層)が形成され得る。
いくつかの実施形態によれば、導電性充填材料は、タングステン、コバルト、銅、ルテニウム、アルミニウムなどの材料で形成され得る。導電性充填材料は、ゲート誘電体、1つまたは複数の導電性バリア層、および1つまたは複数の仕事関数チューニング層の上に堆積され、それにより、それぞれのゲート電極72のそれぞれのスペーサー62の間の残りのスペースが充填されるか、または過剰充填される。
ゲート電極72の充填(または過剰充填)後、CMPなどの平坦化プロセスを実行して、ゲート誘電体70の余分な部分およびゲート電極72の材料を除去することができ、これらの余分な部分は、ILD66の上面上にある。したがって、ゲート電極72およびゲート誘電体70の材料の残りの部分は、結果として得られるFinFETの交換ゲートを形成する。ゲート電極72およびゲート誘電体70は、まとめてゲートスタック74と呼ばれ得る。ゲートスタック74は、フィン52のチャネル領域の側壁に沿って延びる。
いくつかの実施形態では、ILD66が平坦化され、ゲートスタック74およびゲートスペーサー62の平面が露出すると、ILD66は、例えば、第2のアニーリングプロセスを使用して再びアニーリングされ得る。一実施形態では、第2のアニーリングプロセスは、基板50およびILD66が、例えば、炉内で、不活性雰囲気中で加熱される熱アニーリングであり得る。前記第2のアニールプロセスは、約200℃~約1000℃、例えば約500℃の温度で実行することができ、また約60秒間~約360分間、例えば、約240分間継続して実行することができる。
形成後、前記ゲートスタック74は幅Wを有する。いくつかの実施形態では、前記幅Wは、約6nm~約300nmの範囲内である。以下でさらに説明するように、前記フィン52間の前記距離D(図1Aを参照)は、形成される前記ゲートスタック74の前記幅Wに応じて選択される。
図5Aでは、後の図面で用いられる基準断面がさらに示される。断面A-A’は、フィン52の間にあり、かつ前記フィン52の長手方向軸に平行する。断面B-B’は、断面A-A’に垂直であり、ゲートスタック74の長手方向軸に沿って、かつ例えば、前記FinFETの前記エピタキシャルソース/ドレイン領域64間の電流の流れに垂直な方向に沿う。断面C-C’は、断面B-B’に平行し、かつ前記FinFETの前記エピタキシャルソース/ドレイン領域64を貫通する。以降の図面では、明確化するために、これらの基準断面を参照する。
図6A‐図19C及び図21A‐図22Cは、各図面に関連する中間ステップを用いて形成された中間構造体を通る断面図である。図6A、図7A、図8A、図9A、図10A、図11A、図12A、図13A、図14A、図15A、図16A、図17A、図18A、図19A、図21A、図22A(「前記「A」断面図」)は、ゲートスタック74の数が異なる点を除き、図5Aの線A-A’に沿った断面図である。図6B、図7B、図8B、図9B、図10B、図11B、図12B、図13B、図14B、図15B、図16B、図17B、図18B、図19B、図21B、図22B(「前記「B」断面図」)は、フィン52の数が異なる点を除き、図5Aの線B-B’に沿った断面図である。図6C、図7C、図8C、図9C、図10C、図11C、図12C、図13C、図14C、図15C、図16C、図17C、図18C、図19C、図21C、図22C(「前記「C」断面図」)は、フィン52の数が異なる点を除き、図5Aの線C-C’に沿った断面図である。なお、図5Aに示すA-A’断面、B-B’断面、C-C’断面は、FinFET構造の実施例に示され、図6A-図19C及び図21A-図22Cの断面図は、互いに異なって配置される。これらの断面図の相対的な配置を図6A-図19C、図21A-図22Cに示す。
また、「A」断面図は、「B」断面図及び「C」断面図と関連付けて示した線A-A’に沿った断面図であり、形成されるFinFETのフィンに平行する方向における一連のゲート構造を示す。また、「B」断面図は、「A」断面図及び「C」断面図と関連付けて示した線B-B’に沿った断面図であり、形成されるFinFETのフィンに垂直な方向における各中間構造体のカットメタルゲート(CMG)の領域における一連のゲート構造のゲート構造を示す。また、「C」断面図は、「A」断面図及び「B」断面図と関連付けて示した線C-C’に沿った断面図であり、形成されるFinFETのフィンに垂直な方向における各中間構造体のカットメタルゲート(CMG)と関連付けられたILD0/EPI界面の領域を示す。
図6A-図16Cは、いくつかの実施形態に係る、カットメタルゲートを有するFinFETの製造における中間段階を示す。図6A-図6Cは、図5A-図5Cの中間構造体と同様の、FinFETの製造プロセスと同じステップでの中間構造体を示す。図6Aでは、基板50上に4つのゲートスタック74が示され、図6B及び図6Cでは、対応する図面に2対のフィン52が示される。なお、4つのゲートスタック74は、基板50の同一領域に示されるが、当業者であれば、これらのゲートスタック74は物理的に分離されてもよく、また任意の数のデバイス特性(例えば、他のアクティブデバイス、ドープ領域、分離構造等)をゲートスタック74の間に配置してもよいことを理解することができる。例えば、図6A-図16Cの最も右側に位置しているゲート74が基板50の入出力領域にあってもよく、一方、図6A-図16Cの最も左側に位置している3つのゲートスタック74が基板のメモリ領域、例えばスタティックランダムアクセスメモリ(SRAM)領域にあってもよい。いくつかの実施形態では、ゲートスタック74のチャネル長は異なる。例えば、図6A-図16Cに示すように、最も右側に位置しているゲートスタック74は、示された他のゲートスタック74よりも幅が広いため、最も右側に位置しているゲートスタック74は、示された他のゲートスタック74よりも長いチャネル長を有する。
図7A-図7Cは、図6A-図6Cに示す中間構造体の一つ以上のゲートスタック74により「カットメタルゲート」(CMG)を形成する際のいくつかの初期ステップを示す。ゲートスタック74が平坦化された後、ゲートスタック74の平坦化された表面及びILD 66上に、一連のハードマスク層が形成される。
いくつかの実施形態では、一連のマスキング層のうちの第1の層は、エッチストップ層80であってもよい。エッチストップ層80は、原子層堆積法(ALD)、プラズマ化学気相堆積法(PECVD)、化学気相堆積法(CVD)等の堆積法を用いて、Si、TiN、SiN、SiO、又はこれらの組み合わせ等の材料を堆積させることにより、ゲートスタック74の平坦化された表面及びILD 66上に形成することができる。しかしながら、エッチストップ層80を形成するには、任意の材料及び方法を用いることができる。
エッチストップ層80上には、一連のマスキング層の第2の層として、ハードマスク層82が堆積されてもよい。ハードマスク層82は、エッチストップ層80上に、SiN、SiO又はこれらの組み合わせ等の第2のハードマスク材料により形成される。ハードマスク層82を形成するための第2のハードマスク材料は、エッチストップ層80を形成するための第1のハードマスク材料とは異なる。このように、エッチストップ層80は、後続のハードマスク層82のパターン化のエッチストップとして機能することができる。いくつかの実施形態では、ハードマスク層82は、例えば、原子層堆積法(ALD)、プラズマ化学気相堆積法(PECVD)、化学気相堆積法(CVD)等の堆積法を用いて、エッチストップ層80上に配置することができる。ただし、第1の一連のハードマスク層におけるハードマスク層82には、任意の適切な材料及び形成プロセスを用いることができる。
図8A-図8Cは、ハードマスク層82上に堆積されたフォトレジスト層86により開口部84を形成する堆積パターニングプロセスを示す。本実施形態では、フォトレジスト層86は、ハードマスク層82上に、一連のマスキング層の第3の層として堆積される。フォトレジスト層86は、任意の適切な堆積プロセスを用いて堆積され、任意の適切な厚さに形成され、任意の適切なフォトリソグラフィー法を用いてパターニングされることにより、フォトレジスト層86に開口部84が形成され、一つ以上のゲートスタック74上の第1の一連のマスク層のハードマスク層82の表面が露出する。
図9A-図9Cは、図8A-図8Cのフォトレジスト層86のパターンを第1のエッチャントを用いてハードマスク層82に転写し、ハードマスク層82に開口部88のパターンを形成することを示す。いくつかの実施形態では、第1のエッチャントは、エッチストップ層80を形成するハードマスク材料よりも、ハードマスク層82を形成するハードマスク材料に対するエッチング選択比が高い反応ガスを用いることができる。このように、エッチストップ層80はエッチストップ層として機能し、一つ以上のゲートスタック74上のエッチストップ層80が開口部88から露出する。いくつかの実施形態では、エッチングプロセスは、例えば、CF、CH、CHF等の炭素及びフッ素含有ガスを用いて行われる。ただし、第1のエッチャントには、任意の適切なガスを用いることができる。
いくつかの実施形態では、図9Aの開口部88は、約5nm~約500nm、例えば約100nmの一つ以上の幅W88Xで形成され、図9B及び図9Cの開口部88は、約5nm~約50nm、例えば約30nmの一つ以上の幅W88Yで形成される。ただし、開口部88には、任意の適切な幅を用いることができる。開口部88が形成されると、残りのフォトレジスト層86が除去される。残りのフォトレジスト層86は、公知の任意の適切なフォトレジスト層除去プロセスを用いて除去することができる。
図10A-図10Cは、第2のハードマスク材料をブランケットマスク層92として再堆積することにより、開口部88を狭くして幅狭開口部90を形成することを示す。ブランケットマスク層92は、例えば、化学気相堆積法(CVD)や原子層堆積法(ALD)等のコンフォーマル堆積プロセスにより、エッチストッパ層80の露出面、ハードマスク層82の露出面、開口部88の側壁を縁取るように、ハードマスク層82により形成することができる。いくつかの実施形態では、ブランケットマスク層92は、ハードマスク層82を形成するハードマスク材料と同じもの(例えば窒化ケイ素)で形成することができる。本実施形態では、ブランケットマスク層92の厚さは、約10Å~約100Å、例えば約50Åの非常に均一な厚さで形成される。このように、図10Aの幅狭開口部90は、約5nm~約500nm、例えば約100nmの一つ以上の幅W90Xで形成され、図10B及び図10Cの幅狭開口部90は、約5nm~約50nm、例えば約30nmの一つ以上の幅W90Yで形成される。ただし、幅狭開口部90には、任意の適切な幅を用いることができる。
図11A-図11Cは、幅狭開口部90の底部を縁取るブランケットマスク層92の部分を除去するための異方性エッチングプロセスを示す。エッチストップ層80は、異方性エッチングプロセスの際のエッチストップ層として機能する。このように、エッチストップ層80のうち一つ以上のゲートスタック74上に位置する領域の少なくとも一部が、幅狭開口部90により再露出する。異方性エッチングでは、幅狭開口部90の底部を縁取るブランケットマスク層92の水平部分が除去されることに対し、幅狭開口部90の側壁に位置する垂直部分がそのまま残る。このように、幅狭開口部90の側壁に位置する垂直部分は、図10A-図10Cに示すような幅W90X及びW90Yに対応する寸法、図6A-図6Cに示すようなカット線A-A’、カット線B-B’、カット線C-C’に対応する寸法を有するフルリングを形成する。いくつかの実施形態では、異方性エッチングプロセスは、例えばCF、CH、CH等の炭素及びフッ素含有ガスを用いて、幅狭開口部90の底部を縁取るブランケットマスク層92を除去するように行われる。ただし、異方性エッチングプロセスには、任意の適切なガスを用いることができる。
図12A-図12Cは、エッチストップ層80の領域の露出部分を除去し、ゲートスタック74の一つ以上の対象箇所(ゲートスタック74のカットメタルゲート領域という場合がある)、ゲートスペーサー62及びILD66の一部を除去して、CMGトレンチ94を形成するためのCMGエッチングプロセスを示す。このCMGエッチングプロセスにより、図12Bに示すように、ゲートスタック74の一つ以上の対象箇所が第1のメタルゲート部74aと第2のメタルゲート部74bとに分離され、第1の部分と第2の部分とが効果的に「カット」される。このCMGエッチングプロセスにより、図12Cに示すように、ソース/ドレイン領域のILD 66の一つ以上の対象箇所が第1のILD部66aと第2のILD部66bとに分離され、第1の部分と第2の部分とが効果的に「カット」される。いくつかの実施形態では、CMGエッチングプロセスは、Cl、NF、SiCl、BCl、O、N、H、Ar、又はこれらの組み合わせ等の塩素含有ガス又はフッ素含有ガスを用いたドライエッチングを含む。ただし、CMGエッチングプロセスには、任意の適切なドライエッチングガスを用いることができる。
いくつかの実施形態では、CMGトレンチ94は、第1の部分において第1の深さP1で形成され、第2の部分において第2の深さP2で形成される。CMGトレンチ94の第1の部分は、ゲートスタック74の対象箇所の材料を除去し、ゲートスペーサー62の対象箇所の材料を除去し、かつILD 66のうちゲートスタック74の対象箇所及びゲートスペーサー62の対象箇所の下方の部分の材料を除去することにより形成される。このようにして、CMGトレンチ94の第1の部分は、ゲートスタック74の対象ゲートの幅に対応し、ILD 66のゲートスペーサー62の厚さに対応する第1の幅W94X1で形成される。
CMGトレンチ94の第2の部分は、ハードマスク層82により開口部88の縦側壁に沿って形成されるブランケットマスク層92の材料を除去し、かつILD 66のうちハードマスク層82により開口部88の縦側壁に沿って形成されるブランケットマスク層92の下方の部分の材料を除去することにより形成される。このように、CMGトレンチ94の第2の部分は、ハードマスク層82の開口部W88Xの幅に対応する第2の幅W94X2で形成される。
CMGトレンチ94の中央付近のカット線B-B’に沿った図12Bは、対象ゲートスタック74が第1の部分74 aと第2の部分74 bとに完全に分離(すなわち「カット」)される第1の深さP1までCMGトレンチ94が形成されることを示す。CMGトレンチ94のソース/ドレイン領域64の近傍のカット線C-C’に沿った図12Cは、隣接する素子のフィン52の一部を分離する分離領域56上にILD 66の一部が残る第2の深さP2までCMGトレンチ94が形成されることを示す。
また、図12A-図12Cに示すように、CMGエッチングプロセスにおいて、ハードマスク層82の材料、エッチストップ層80の材料、対象ゲートスタック74の材料、ゲートスペーサー62の材料、ILD 66の材料と、CMGエッチングプロセスにおける反応ガスとの反応の副生成物として、残留副生成物材料96(例えば、ポリマー)が形成される。例えば、図12A-図12Cに示すように、残留副生成物材料96は、ハードマスク層82上で、CMGトレンチ94の側壁に沿って形成することができる。
図13A-図13Cは、残留副生成物材料96の除去を示す。CMGトレンチ94が形成されると、残りのポリマー副生成物96を除去するためのポリマー除去プロセスが行われる。例えば、HF/NHガスを用いた非プラズマレシピを用いてポリマー材料を除去することができる。HF/NHガスを用いた非プラズママレシピは、金属に対する選択性が低く、ポリマー副生成物96を除去する際の圧力や温度を調整することにより、SiNに対する選択性が異なるように調整することができる。
CMGポリマー副生成物96が除去されると、湿式洗浄を行って、後続処理のためにCMGトレンチ94の表面を清浄に保持する。いくつかの実施形態では、湿式洗浄処理には、SC-1、SC-2等の洗浄液を用いることができる。HSOとHとの混合液(SPMとして公知)又はフッ化水素(HF)の溶液など、他の溶液を用いてもよい。ただし、任意の適切な溶液又は任意の適切なプロセスは、湿式洗浄処理に用いることができ、本実施形態の範囲内に含まれることを意図している。
いくつかの実施形態では、CMGポリマー副生成物96を除去した後に、CMGトレンチ94は、約50nm~約300nmの第1の深さP1で、約5nm~約500nmの第1の幅W94X1、例えば約100nmで形成することができる。また、CMGトレンチ94は、約48nm~約298nm、例えば約198nmの第2の深さP2で形成され、かつ約1nm~約10nm、例えば約4nmの第2の幅W94X2で形成することができる。しかしながら、CMGトレンチ94の第1の深さP1及び第2の深さP2、並びに、CMGトレンチ94の第1の幅W94X1及び第2の幅W94X2には、任意の適切な深さ及び幅を用いることができる。
また、CMGトレンチ94は、図13B及び図13Cの断面、並びにこれらの同じ断面の後続図を見ると、例えば、U字形、V字形又はロ字形であってもよい。図13A-図13Cの実施形態では、CMGトレンチ94は、他の形状が可能であるが口字形であり、本実施形態の範囲内に含まれることを意図している(例えば、図21A-図22C参照)。
図14A-図14Cは、図13A-図13Cの構造におけるバリア層100の堆積を示す。バリア層100は、後続の処理において、CMGトレンチ94の材料がゲートスタック74に拡散することを防止することができる。いくつかの実施形態では、バリア層100は、窒化ケイ素等を含んでもよい。バリア層100は、例えば、約6.5~約8の誘電率を有することができる。バリア層100は、ALD等の堆積プロセスを用いてコンフォーマルに堆積することができる。バリア層100は、CMGトレンチ94の両側に約1nm~約15nm、例えば約5nmの厚さで堆積することができる。
一実施形態では、バリア層100は、プラズマ強化原子層堆積(PEALD)プロセスにより堆積された窒化ケイ素層である。本実施形態では、PEALDプロセスは、300℃~約600℃の範囲内の温度で行うことができる。また、本実施形態では、窒化シリコン層を形成する第1工程は、ジヨードシラン(SiH)及びNHの前駆体を含んでもよい。
また、図14A-図14Cは、バリア層100上にコンフォーマルに堆積された酸素遮断層102をさらに示す。一実施形態では、酸素遮断層102は、アモルファスシリコン材料層等として形成することができる。酸素遮断層102がシリコン層102である場合、シリコン層102は、CMGトレンチ94の両側に約2Å~約10Å、例えば約5Åの厚さで堆積することができる。図14A-図14Cの実施形態では、シリコン層102は、約5Å未満の厚さで堆積されている。シリコン層102は、CVD等の堆積プロセスを用いてコンフォーマルに堆積することができる。シリコン層102は、下部バリア層100及びゲートスタック74の酸化に対するバリアとして機能する。いくつかの実施形態では、酸素遮断層102は、低k値を有し、下部バリア層100及びゲートスタック74の酸化に対するバリアとして機能する他の材料で形成することができる。
一実施形態では、シリコン層102は、CVD法により炉内で、約350℃~約450℃の温度、約1~約3 torrの圧力、約0.3~約0.5標準リットル/分間(slm)のジシラン流量、約0~1 slmのNキャリアフローで堆積される。
他の実施形態では、シリコン層102は、PEALD法により、1つのウェハ室内で、約300℃~約600℃の温度、約10~約20 torrの圧力で、約200~約2000 slm、例えば、800 slmの前駆体であるジヨードシラン(SiH)及びNフローを用いて、約600~約800Wの無線周波数で、約0.2~約10分間、例えば、約1分間堆積される。
他の実施形態では、シリコン層102は、PEALD法により炉内で、約200℃~500℃の温度、約2~約5 torrの圧力、約0.5~約10 slm、例えば約2 slmの前駆体N-(ジエチルアミノシリル)-N-エチルエタンアミン(C22Si)及びArフローを用いて、約15~100Wの無線周波数で、約0.2~約10分間、例えば1分間堆積される。
シリコン層102を堆積した後に、シリコン層102の少なくとも一部を酸化シリコン層に変換する酸化プロセスを行う。一実施形態では、前記酸化プロセスは、現場酸素パージ酸化法を含む。一実施形態では、酸化プロセスは、炉内に現場酸素ソーキングを含み、約350℃~450℃の温度、約1~約3 torrの圧力で、約0.2~約10 slmの流量の酸素を用いて、約2分間~約30分間行われる。一実施形態では、シリコン層102の厚さが5Å未満に形成される場合に、酸化プロセスによってシリコン層102が完全に酸化シリコン層に変換される。
他の実施形態では、酸化プロセスは、以下の図15A-図15Cに対して説明する処理の次の段階の待機中に、真空を破壊してシリコン層102を周囲雰囲気に露出させることにより行われる。
いくつかの実施形態では、酸化プロセスを行った後に、残りのシリコン層102と新たに形成される酸化ケイ素層とを合わせた厚さは、CMGトレンチ94の両側において、約0.4nm-約1.5nmである。
図15A-図15Cでは、図14A-図14Cの酸化構造上に充填材104が堆積される。CMGトレンチ94は、充填材104で充填されてもよい。なお、図15A-図15C以降では、酸化シリコン層は、同じ原料組成物としての充填材104の一部として示される。充填材104は、酸化ケイ素、窒化ケイ素、酸化炭化ケイ素、及び/又は窒化炭化酸化ケイ素等の誘電材料であり、ここで炭素が化合物の約1重量%~約10重量%であり、及び/又は窒素が化合物の約50重量%未満であり、かつ式(Si)(1-y)、(SiO)(1-x)、及び/又は(SiO)(1-x-y)で表され、ここでx=0.01-0.1、y<0.5。充填材104は、PEALD法、PECVD法、ALD法、CVD法等の堆積プロセスを用いて堆積することができる。一実施形態では、充填材104は、約3.5~約5の誘電率を有する酸化ケイ素である。一実施形態では、充填材104は、CMGトレンチ94をハードマスク層82の上面よりも上方になるまで過充填するように堆積することができる。
一実施形態では、充填材は、前駆体であるN-(ジエチルアミノシリル)-N-エチルエタンアミン(C22Si)及びOを用いたPEALD法により堆積される。
一実施形態では、バリア層100の堆積、シリコン層102の堆積、及びシリコン層102の酸化は、それぞれ1つのチャンバー内で行うことができる。他の実施形態では、バリア層100の堆積は、別体チャンバー内で行われる。
一実施形態では、シリコン層102の堆積、シリコン層102の酸化、及び充填材104の堆積は、それぞれ1つのチャンバー内で行うことができる。本実施形態では、バリア層100の堆積は、別体チャンバー内で行われる。
一実施形態では、約5Å未満の厚さでのシリコン層102の堆積、シリコン層102の酸化、酸化ケイ素の充填材104の堆積を行った後、全て又は実質的に全てのシリコン層102を酸化させる。このため、この具体的な実施形態では、酸化シリコン層102及び充填材104は、いずれも酸化ケイ素であり、かつCMGトレンチ94内においてバリア層100上に位置する。
図16A-図16Cは、充填材104の余分な材料を除去するために、例えば化学機械研磨(CMP)平坦化プロセスを用いて充填材104の平坦化を行うことを示す。CMP平坦化プロセスは、エッチストップ層80が完全に除去されるまで継続してもよく、充填材104、ゲートスタック74及び各ゲートスペーサー62の上面がILD 66の平面内に露出するまで継続してもよい。このように、CMGプラグ106は、ILD 66内に配置されたバリア層100、シリコン層102(存在する場合)、及び充填材104の残りの材料により形成される。いくつかの実施形態では、一旦低減されると、ゲートスタック74の高さ及びCMGプラグ106の高さは、約50nm~約120nm、例えば約100nmの全体の第1の高さH1まで低減することができる。ただし、ゲートスタック74及びCMGプラグ106の高さには、任意の適切な高さを用いることができる。
図17A-図19Cは、いくつかの他の実施形態に係る、カットメタルゲートを有するFinFETの製造における中間段階を示す。図17A-図19Cの実施形態は、最終的な構造において酸素遮断層202の一部が酸化されずに残っている点を除き、図1-図16Cに示す実施形態と同様である。本実施形態では、酸素遮断層202の全体が酸化プロセスにより酸化されないように、酸素遮断層202を上記実施形態の酸素遮断層102よりも厚く形成することができる。上記実施形態と同様に、一例として、酸素遮断層202は、シリコン層202であってもよい。なお、上述した実施形態の詳細と同様の内容について、本実施形態では説明を省略する。
図17A-図17Cは、上記図14A-図14Cで説明した処理と同様の処理の中間段階を示しており、この処理の中間段階の形成については説明を省略する。図17A-図17Cに示すように、図13A-13Cの残存副生成物材料96を除去した後、図13A-図13Cの構造上にバリア層200を堆積する。バリア層200を形成するための材料及びプロセスは、上述したバリア層100と同様とすることができるので、ここでは説明を省略する。
図17A-図17Cはさらに、バリア層200上にコンフォーマルに堆積されるシリコン層202を示している。シリコン層202は、CMGトレンチ94の両側に、5Å程度~10Å程度の厚さで堆積することができる。図17A-図17Cの実施形態では、シリコン層202を5Å程度以上の厚さで堆積しているが、シリコン層202を形成するための材料及びプロセスは、上述したシリコン層102と同様とすることができるので、ここでは説明を省略する。
シリコン層202の堆積後、シリコン層202の一部を残したまま、シリコン層202の一部を酸化ケイ素層に変換させる酸化プロセスを行う。酸化プロセスは、図14A-図14Cを参照して説明した酸化プロセスと同様とすることができるので、ここでは説明を省略する。本実施形態では、シリコン層202が厚く形成されているため、酸化プロセスによってシリコン層202全体が酸化ケイ素層に変換されず、シリコン層202の一部が残る。
図18A-図18Cでは、図17A-図17Cの酸化構造上に充填材204が堆積される。充填材204を形成するための材料及びプロセスは、上述した充填材104と同様とすることができるので、ここでは説明を省略する。
図19A-図19Cにおいて、例えばCMP平坦化プロセスを用いて充填材204を平坦化し、余分な充填材204を除去する。CMP平坦化プロセスは、エッチストップ層80が完全に除去されるまで継続してもよいし、充填材204、ゲートスタック74及び各ゲートスペーサー62の上面がILD 66の平面内に露出するまで継続してもよい。このようにして、ILD 66内に配置されるバリア層200、シリコン層202及び充填材204の残りの材料により、CMGプラグ206が形成される。いくつかの実施形態では、一旦低減されると、ゲートスタック74の高さ及びCMGプラグ206の高さは、50nm-120nm程度、例えば100nm程度の全体の高さH2に低減されてもよい。ただし、ゲートスタック74及びCMGプラグ206の高さには、任意の適切な高さを用いることができる。
図20は、カットメタルゲートを有するFinFETの製造における追加段階に続く半導体装置を示している。
カットメタルゲートを形成した後、例えば、図20に示すように、図16A-図16C又は図19A-図19Cの後段において、ILD 66上にILD 76を堆積する。いくつかの実施形態では、ILD 76は、流動性CVD法により形成される流動性フィルムである。いくつかの実施形態では、ILD 76は、PSG、BSG、BPSG、USG等の誘電材料で形成され、CVD、PECVD等の任意の適切な方法で堆積することができる。
また、ILD 76及びILD 66を介して、ゲートコンタクト78及びソース/ドレインコンタクト(図示せず)が形成される。ILD 66及びILD 76を介して、ソース/ドレインコンタクト用の開口部が形成され、ILD 76(及び必要に応じてゲートマスク、形成される場合)を介して、ゲートコンタクト78用の開口部が形成される。ゲートコンタクト78(又はソース/ドレインコンタクト)は、ライナー(拡散防止層、接着層等)と導電性材料とを含んでもよい。開口部は、許容されるフォトリソグラフィ技術およびエッチング技術を用いて形成することができる。開口部内には、ライナー及び導電性材料が形成される。ライナーは、チタン、窒化チタン、タンタル、窒化タンタル等を含んでもよい。導電性材料としては、銅、銅合金、銀、金、タングステン、コバルト、アルミニウム、ニッケル等が挙げられる。導電性材料は、CVD等の堆積プロセスにより形成することができる。
ILD 76の表面から余分な材料を除去するために、CMP等の平坦化プロセスを行ってもよい。残りのライナー及び導電性材料は、開口部内にソース/ドレインコンタクト及びゲートコンタクト78を形成する。アニール処理を行い、エピタキシャルソース/ドレイン領域64とソース/ドレインコンタクトとの界面にシリサイドを形成してもよい。ソース/ドレインコンタクトは、エピタキシャルソース/ドレイン領域64に物理的かつ電気的に連結され、ゲートコンタクト78は、ゲート電極72に物理的かつ電気的に連結される。ソース/ドレインコンタクトとゲートコンタクト78は、異なる工程で形成されてもよいし、同一の工程で形成されてもよい。
図21A-図22Cは、いくつかの他の実施形態に係る、カットメタルゲートを有するFinFETの製造における中間段階を示している。図21A-図22Cの実施形態は、本実施形態では、CMGトレンチがU字形に形成されている点以外に、図1A-図16C及び図17A-図19Cの実施形態と同様である。また、本実施形態では、トレンチは、分離領域56を貫通して基板50に部分的に延びるように深く形成されてもよい。上述した実施形態と同様の本実施形態に関する詳細については説明を省略する。
図21A-図21Cは、上記図13A-図13Cで説明した処理と同様の処理の中間段階を示しており、この処理の中間段階の形成については説明を省略する。図21A-図21Cでは、CMGトレンチ300を形成するために、エッチストップ層80の領域の露出部分を除去し、ゲートスタック74、関連するゲートスペーサー62及びILD 66の一つ以上の対象箇所を除去して、カットメタルゲート(CMG)エッチングプロセスを行う。CMGトレンチ300を形成するための材料及びプロセスは、上述したCMGトレンチ94と同様とすることができるので、ここでは説明を省略する。本実施形態では、先の実施形態よりも、CMGトレンチ300を形成する際のエッチング時間を長くして、CMGトレンチ300の深さを深く形成することができる。
図21A-図22Cに示すように、図13A-図13Cの残存副生成物材料96を除去した後、CMGトレンチ300は、第1の部分において深さP3まで形成され、かつ第2の部分において深さP4まで形成される。CMGトレンチ300の第1の部分は、ゲートスタック74の対象箇所の材料を除去し、ゲートスペーサ62の対象箇所の材料を除去し、かつILD 66のうちゲートスタック74の対象箇所及びゲートスペーサー62の対象部分の下方の部分の材料を除去することにより形成される。このようにして、CMGトレンチ300の第1の部分は、ゲートスタック74の対象ゲートの幅に対応し、ILD 66のゲートスペーサー62の厚さに対応する第1の幅W300X1で形成される。
CMGトレンチ300の第2の部分は、ハードマスク層82を介して開口部88の縦側壁に沿って形成されるブランケットマスク層92の材料を除去し、かつILD 66のうちハードマスク層82を介して開口部88の縦側壁に沿って形成されるブランケットマスク層92の下方の部分の材料を除去することにより形成される。このようにして、CMGトレンチ300の第2の部分は、ハードマスク層82の開口部部W88の幅に対応する第2の幅W300X2で形成される(例えば、図9A-図9Cを参照)。
いくつかの実施形態では、CMGポリマー副生成物96を除去した後に、CMGトレンチ300は第1の深さP3が150nm-350nm程度、第1の幅W300X1が5nm-25nm程度、例えば10nm程度に形成されてもよい。CMGトレンチ300はさらに、第2の深さP4が130nm以上330nm以下、例えば250nm程度、第2の幅W300X2が2nm以上20nm以下、例えば7nm程度に形成されてもよい。しかしながら、CMGトレンチ300の第1の深さP3及び第2の深さP4、並びに、CMGトレンチ94の第1の幅W300X1及び第2の幅W300X2には、任意の適切な深さ及び任意の適切な幅を用いることができる。
また、CMGトレンチ300は、図21B及び図21Cの断面図、並びにこれらの同じ断面図の後続図で見ると、例えば、U字形、V字形又はロ字形であってもよい。図21A-図21Cの実施形態では、CMGトレンチ300の形状はU字形であるが、他の形状であってもよく、実施形態の範囲内に含まれることを十分に意図している。
図22A-図22Cは、図21A-図21Cの構造体に対する後続処理を示している。図21A-図21C及び図22A-図22Cの後続処理は、図14A-図14C及び図16A-図16C又は図17A-図17C及び図19A-図19Cに示した上述した処理と同様であるため、ここでは説明を省略する。
図22A-図22Cは、CMGトレンチ300内に形成されるバリア層302と、バリア層302上に形成される充填材304とを示している。本実施形態では、酸素遮断層(例えば、シリコン層102又は202)が残ることを図示していないが、バリア層302と充填材304との間の酸素遮断層は可能であり、本実施形態の範囲内に含まれることを十分に意図している。本実施形態では、CMGトレンチ300が基板50に露出しているため、バリア層302はトレンチ300内で物理的に50に接している。バリア層302はバリア層100と同様であり、充填材304は充填材104と同様であってもよい。バリア層102及び充填材料104を形成するための材料及びプロセスは、上述した通りであるので、ここでは説明を省略する。
また、図22A-図22Cは、さらに、CMGプラグ306を示している。CMGプラグ306を形成するための材料及びプロセスは、上述したCMGプラグ106と同様とすることができるので、ここでは説明を省略する。本実施形態では、一旦低減されると、ゲートスタック74の高さ及びCMGプラグ306の高さは、50nm-150nm程度の全体の第1の高さH3に低減されてもよい。ただし、ゲートスタック74及びCMGプラグ306の高さには、任意の適切な高さを用いることができる。
実施形態によれば、効果が得られる。実施形態は、装置の閾値電圧を低下させることなく、装置の抵抗容量遅延を改善するCMGトレンチ内に形成されるカットメタルゲート(CMG)分離構造を含む。いくつかの実施形態では、前記CMG分離構造は、窒化ケイ素層、シリコン層及び酸化ケイ素層を含む複数の層を含む。いくつかの実施形態では、窒化ケイ素層は、後続の処理中にゲートスタックの材料がCMGトレンチに拡散するのを防ぐためのバリア層として機能する。いくつかの実施形態では、シリコン層は、下にあるバリア層およびゲートスタックの酸化に対するバリアとして機能する。シリコン層は下にある層を酸化から保護するため、シリコン層上に酸化ケイ素層を形成することができ、これにより、CMG分離構造の誘電率が低下する。さらに、下にある層(例えば、ゲートスタック)を酸化から保護することにより、CMGアプローチを利用しながら、装置の閾値電圧を維持することができる。
前述は、当業者が本開示の態様をよりよく理解できるように、いくつかの実施形態の特徴を概説している。当業者であれば、本明細書に導入された実施形態の同じ目的を実行し、及び/又は同じ利点を達成するための他のプロセス及び構造を設計又は修正するための基礎として本開示を容易に使用できることを理解できる。当業者であれば、またそのような同等の構造が本開示の精神及び範囲から逸脱せず、本開示の精神及び範囲から逸脱することなく、本明細書において様々な変更、置換、及び改変を行うことができることを理解できる。

Claims (20)

  1. 半導体装置のメタルゲート構造のカットメタルゲート領域に開口部を形成する工程と、
    前記開口部内に第1の誘電層をコンフォーマルに堆積する工程と、
    前記第1の誘電層上にシリコン層をコンフォーマルに堆積する工程と、
    前記シリコン層に対して酸化プロセスをして第1の酸化ケイ素層を形成する工程と、
    前記開口部を第2の酸化ケイ素層で充填する工程と、
    前記第2の酸化ケイ素層及び前記第1の誘電層に対して、前記半導体装置の前記メタルゲート構造を露出させる化学機械研磨を行って、カットメタルゲートプラグを形成する工程と、
    を備える方法。
  2. 前記第1の誘電層は、窒化ケイ素を含む請求項1に記載の方法。
  3. 前記シリコン層に対して酸化プロセスをする工程は、前記シリコン層の全体を前記第1の酸化ケイ素層に変換する、請求項1に記載の方法。
  4. 前記第2の酸化ケイ素層は、前記第1の酸化ケイ素層上に直接形成される、請求項3に記載の方法。
  5. 前記シリコン層に対して酸化プロセスをする工程は、前記シリコン層の一部のみを前記第1の酸化ケイ素層に変換し、前記シリコン層の一部は変換されないままである、請求項1に記載の方法。
  6. 残りのシリコン層は、前記第1の誘電層と前記第1の酸化ケイ素層とを分離する、請求項5に記載の方法。
  7. 基板上に第1の半導体フィンを形成する工程と、
    前記第1の半導体フィンの下部を囲む分離領域を形成する工程と、
    前記第1の半導体フィンの上面及び側壁上に、前記分離領域の上面に沿って、ダミーゲート構造を形成する工程と、
    前記第1の半導体フィン上にソース/ドレイン領域を形成する工程と、
    前記ダミーゲート構造、前記ソース/ドレイン領域及び前記分離領域上に層間誘電層を形成する工程と、
    前記ダミーゲート構造を前記メタルゲート構造に置き換える工程と、をさらに備え、前記メタルゲート構造の前記カットメタルゲート領域の開口部が前記層間誘電層を貫通する、請求項1に記載の方法。
  8. 前記メタルゲート構造の前記カットメタルゲート領域の前記開口部は、前記分離領域を貫通して前記基板内に延びる、請求項7に記載の方法。
  9. 前記シリコン層に対して酸化プロセスをする工程は、プラズマ増強原子層堆積プロセスを備える、請求項1に記載の方法。
  10. 基板上に、第1の半導体フィンと、前記第1の半導体フィンに隣接する第2の半導体フィンとを形成する工程と、
    前記第1の半導体フィン及び前記第2の半導体フィンの下部を囲む分離領域を形成する工程と、
    前記第1の半導体フィン及び前記第2の半導体フィンの上面及び側壁上に、前記分離領域の上面に沿って、ダミーゲート構造を形成する工程と、
    前記ダミーゲート構造をアクティブゲート構造に置き換える工程と、
    前記アクティブゲート構造を貫通して、前記第1半導体フィンと前記第2半導体フィンとの間の第1開口をエッチングする工程であって、
    前記アクティブゲート構造上に第1のマスク層を形成する工程と、
    前記第1のマスク層をパターニングして、前記アクティブゲート構造上に前記第1のマスク層を貫通する第2の開口部を形成する工程と、
    前記パターニングされる第1のマスク層上に、前記第1のマスク層を貫通して前記第2の開口部の側壁及び底部に位置する第2のマスク層をコンフォーマルに形成する工程と、
    前記第2のマスク層を前記第2の開口部の底部から除去する工程と、
    前記第1の及び第2のマスク層をマスクとして、第1の深さを有する、前記第1の開口部の第1の部分をエッチングする工程と、
    前記第1のマスク層をマスクとして、前記第1の深さよりも浅い第2の深さを有する、前記開口部の第2の部分をエッチングする工程と、を備える工程と、
    前記第1の開口部内及び前記アクティブゲート構造上に、バリア層を形成する工程と、
    前記第1の開口部内及び前記アクティブゲート構造上の前記バリア層上に、酸素遮断層を形成する工程と、
    前記酸素遮断層に対して酸化プロセスをして第1の酸化物層を形成する工程と、
    前記第1の酸化物層上に誘電層を形成して前記第1の開口部を充填する工程と、を備える、方法。
  11. 前記誘電層は、酸化ケイ素層である、請求項10に記載の方法。
  12. 前記第1の半導体フィン及び前記第2の半導体フィン上に、前記ダミーゲート構造の両側に位置するエピタキシャルソース/ドレイン領域を成長させる工程と、
    前記ダミーゲート構造、前記エピタキシャルソース/ドレイン領域及び前記分離領域上に、層間誘電層を形成する工程と、をさらに備え、前記アクティブゲート構造の前記開口部が層間誘電層を貫通する、請求項10に記載の方法。
  13. 前記アクティブゲート構造の前記開口部は、前記分離領域を貫通して前記基板に達する、請求項12に記載の方法。
  14. 前記酸素遮断層に対して酸化プロセスをする工程は、
    ソーク処理を行う工程をさらに備える、請求項10に記載の方法。
  15. 前記酸素遮断層は、シリコン層であり、前記バリア層上に前記酸素遮断層を形成する工程は、
    ジヨードシラン(SiH)を含むプラズマ増強原子層堆積プロセスを行う工程をさらに備える、請求項10に記載の方法。
  16. 前記酸素遮断層は、シリコン層であり、前記バリア層上に前記酸素遮断層を形成する工程は、
    N-(ジエチルアミノシリル)-N-エチルエタンアミン(C22Si)を含むプラズマ増強原子層堆積プロセスを行う工程をさらに備える、請求項10に記載の方法。
  17. 前記酸素遮断層に対して酸化プロセスをすることは、前記酸素遮断層の全体を前記第1の酸化物層に変換する、請求項10に記載の方法。
  18. 基板から延びる半導体フィンと、
    前記半導体フィンの下部を囲む分離領域と、
    前記半導体フィン上及び前記分離領域上に設けられ、層間誘電層中に配置されるメタルゲート構造と、
    前記メタルゲート構造内に配置され、前記メタルゲート構造を2つの異なる部分に分離する分離構造と、を備え、前記分離構造は、
    前記メタルゲート構造の2つの異なる部分の側壁に沿って延びるコンフォーマル窒化ケイ素層と、
    前記コンフォーマル窒化ケイ素層上のコンフォーマルシリコン層と、
    前記コンフォーマルシリコン層上の酸化ケイ素層と、を備える、半導体装置。
  19. 前記分離構造は、前記分離領域を貫通して前記基板内に部分的に延び、前記分離構造の前記コンフォーマル窒化ケイ素層は、前記基板に接している、請求項18に記載の半導体装置。
  20. 前記コンフォーマル窒化ケイ素層は、前記メタルゲート構造の前記2つの異なる部分の一方の側壁から前記メタルゲート構造の他方の前記異なる部分まで、前記分離領域の上面に沿って延びる、請求項18に記載の半導体装置。
JP2021113141A 2020-07-08 2021-07-07 半導体装置及び方法 Pending JP2022016371A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/923,658 2020-07-08
US16/923,658 US11495464B2 (en) 2020-07-08 2020-07-08 Semiconductor device and method

Publications (1)

Publication Number Publication Date
JP2022016371A true JP2022016371A (ja) 2022-01-21

Family

ID=77103773

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2021113141A Pending JP2022016371A (ja) 2020-07-08 2021-07-07 半導体装置及び方法

Country Status (7)

Country Link
US (2) US11495464B2 (ja)
EP (1) EP3937221A1 (ja)
JP (1) JP2022016371A (ja)
KR (1) KR102495797B1 (ja)
CN (1) CN113451209A (ja)
DE (1) DE102020119452B4 (ja)
TW (1) TWI780799B (ja)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN114334655A (zh) * 2020-10-12 2022-04-12 联华电子股份有限公司 半导体元件及其制作方法

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7727864B2 (en) * 2006-11-01 2010-06-01 Asm America, Inc. Controlled composition using plasma-enhanced atomic layer deposition
KR102290793B1 (ko) 2014-12-18 2021-08-19 삼성전자주식회사 반도체 장치, 반도체 장치의 패턴 형성 방법 및 반도체 장치의 제조 방법
US9607985B1 (en) * 2015-09-25 2017-03-28 United Microelectronics Corp. Semiconductor device and method of fabricating the same
US9659930B1 (en) * 2015-11-04 2017-05-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
KR102476764B1 (ko) 2015-12-23 2022-12-14 에스케이하이닉스 주식회사 소자분리구조 및 그 제조 방법
US10084040B2 (en) * 2015-12-30 2018-09-25 Taiwan Semiconductor Manufacturing Co., Ltd. Seamless gap fill
US10008601B2 (en) * 2016-10-17 2018-06-26 International Business Machines Corporation Self-aligned gate cut with polysilicon liner oxidation
US10153198B2 (en) * 2017-04-07 2018-12-11 Taiwan Semiconductor Manufacturing Company, Ltd. Low-resistance contact plugs and method forming same
US10263090B2 (en) * 2017-04-24 2019-04-16 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10453936B2 (en) 2017-10-30 2019-10-22 Globalfoundries Inc. Methods of forming replacement gate structures on transistor devices
US10468527B2 (en) 2017-11-15 2019-11-05 Taiwan Semiconductor Manufacturing Co., Ltd. Metal gate structure and methods of fabricating thereof
US10867998B1 (en) * 2017-11-29 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure cutting process and structures formed thereby
US10460994B2 (en) * 2017-11-30 2019-10-29 Taiwan Semiconductor Manufacturing Co., Ltd. Residue-free metal gate cutting for fin-like field effect transistor
US10236213B1 (en) 2018-03-12 2019-03-19 Globalfoundries Inc. Gate cut structure with liner spacer and related method
KR102402763B1 (ko) 2018-03-27 2022-05-26 삼성전자주식회사 반도체 장치
US11107902B2 (en) * 2018-06-25 2021-08-31 Taiwan Semiconductor Manufacturing Company, Ltd. Dielectric spacer to prevent contacting shorting
US11315933B2 (en) 2018-06-29 2022-04-26 Taiwan Semiconductor Manufacturing Co., Ltd. SRAM structure and method for forming the same
US10720526B2 (en) 2018-06-29 2020-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Stress modulation for dielectric layers
US10854603B2 (en) 2018-06-29 2020-12-01 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10714347B2 (en) 2018-10-26 2020-07-14 Taiwan Semiconductor Manufacturing Company, Ltd. Cut metal gate processes
US11152262B2 (en) 2018-11-30 2021-10-19 Taiwan Semiconductor Manufacturing Co., Ltd. Cut metal gate devices and processes
KR20210024420A (ko) * 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11145650B2 (en) * 2019-10-18 2021-10-12 Taiwan Semiconductor Manufacturing Co., Ltd. Gate cut dielectric feature and method of forming the same

Also Published As

Publication number Publication date
DE102020119452B4 (de) 2023-02-23
TWI780799B (zh) 2022-10-11
TW202203374A (zh) 2022-01-16
KR20220006437A (ko) 2022-01-17
US20220013364A1 (en) 2022-01-13
EP3937221A1 (en) 2022-01-12
DE102020119452A1 (de) 2022-01-13
US11495464B2 (en) 2022-11-08
US20220367193A1 (en) 2022-11-17
CN113451209A (zh) 2021-09-28
KR102495797B1 (ko) 2023-02-06

Similar Documents

Publication Publication Date Title
KR102259706B1 (ko) 반도체 디바이스 및 그 제조 방법
CN110838487B (zh) 半导体器件及方法
CN105810738B (zh) 半导体器件及其制造方法
US20220130730A1 (en) Semiconductor Device and Method
TWI813775B (zh) 半導體裝置及其製造方法
TWI725588B (zh) 半導體裝置的形成方法及半導體裝置
TWI783553B (zh) 半導體裝置及其形成方法
JP2022023829A (ja) 半導体デバイスの製造方法及び半導体デバイス
CN111129147B (zh) 制造半导体器件的方法和半导体器件
TWI739147B (zh) 半導體裝置及其形成方法
US20220367193A1 (en) Semiconductor Device and Method
US20230215866A1 (en) Integrated circuit devices and methods of manufacturing the same
US11855140B2 (en) Gate oxide of nanostructure transistor with increased corner thickness
US11923360B2 (en) Semiconductor device and method for forming the same
TWI832437B (zh) 半導體裝置及其形成方法
TWI835324B (zh) 半導體結構及其形成方法
US20230040843A1 (en) Nanostructure field-effect transistor device and method of forming
US20230020099A1 (en) NFET with Aluminum-Free Work-Function Layer and Method Forming Same
US20230014471A1 (en) Seam-Filling of Metal Gates With Si-Containing Layers
US20220336584A1 (en) Nanosheet field-effect transistor device and method of forming
KR20240028313A (ko) 볼륨리스 불소 혼입 방법
TW202339104A (zh) 半導體結構及其形成方法