TWI758174B - 半導體元件及其形成方法 - Google Patents

半導體元件及其形成方法 Download PDF

Info

Publication number
TWI758174B
TWI758174B TW110115778A TW110115778A TWI758174B TW I758174 B TWI758174 B TW I758174B TW 110115778 A TW110115778 A TW 110115778A TW 110115778 A TW110115778 A TW 110115778A TW I758174 B TWI758174 B TW I758174B
Authority
TW
Taiwan
Prior art keywords
dielectric
backside
layer
substrate
spacer
Prior art date
Application number
TW110115778A
Other languages
English (en)
Other versions
TW202143492A (zh
Inventor
陳志良
田麗鈞
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US17/167,646 external-priority patent/US11676896B2/en
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202143492A publication Critical patent/TW202143492A/zh
Application granted granted Critical
Publication of TWI758174B publication Critical patent/TWI758174B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02603Nanowires
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30625With simultaneous mechanical treatment, e.g. mechanico-chemical polishing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823871Complementary field-effect transistors, e.g. CMOS interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5286Arrangements of power or ground buses
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66553Unipolar field-effect transistors with an insulated gate, i.e. MISFET using inside spacers, permanent or not
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78606Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device
    • H01L29/78618Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Geometry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Semiconductor Memories (AREA)

Abstract

一種半導體元件包括基板、閘極結構、源極/汲極結構、背側通孔、及電力軌。閘極結構沿著與基板的前側表面平行的第一方向延伸。背側通孔沿著與基板的前側表面平行但垂直於第一方向的第二方向延伸,背側通孔具有沿著第一方向與源極/汲極結構中的一者對準的第一部分以及沿著第一方向與閘極結構對準的第二部分,背側通孔的第一部分具有沿著第一方向的第一寬度,並且背側通孔的第二部分具有沿著第一方向的第二寬度,其中第一寬度大於第二寬度。電力軌在基板的背側表面上並且與背側通孔接觸。

Description

半導體元件及其形成方法
本揭露是關於一種半導體元件及其形成方法。
半導體積體電路(IC)工業已經歷快速增長。IC材料及設計的技術進展已經產生數代IC。與前代相比,每代具有較小且較複雜的電路。然而,此等進展增加了處理及製造IC的複雜性。
在IC的發展過程中,功能密度(亦即,單位晶片面積互連元件的數量)大體增加而幾何大小(亦即,可以使用製造製程產生的最小部件(或接線))減小。此縮小過程大體藉由增加生產效率並降低相關成本來提供益處。
然而,由於特徵大小繼續減小,製造製程繼續變得更難以執行。由此,形成越來越小的可靠半導體元件具有挑戰性。
在本揭示的一些實施例中,一種半導體元件包括基板、閘極結構、源極/汲極結構、背側通孔、及電力軌。閘 極結構沿著與基板的前側表面平行的第一方向延伸。源極/汲極結構分別在閘極結構的相對側面上。背側通孔沿著與基板的前側表面平行但垂直於第一方向的第二方向延伸,背側通孔具有沿著第一方向與源極/汲極結構中的一者對準的第一部分以及沿著第一方向與閘極結構對準的第二部分,背側通孔的第一部分具有沿著第一方向的第一寬度,並且背側通孔的第二部分具有沿著第一方向的第二寬度,其中第一寬度大於第二寬度。電力軌在基板的背側表面上並且與背側通孔接觸。
在本揭示的一些實施例中,一種半導體元件的形成方法包括:在基板的前側上方交替地形成第一半導體層及第二半導體層;蝕刻第一半導體層、第二半導體層、及基板以形成溝槽;沿著溝槽的側壁形成第一介電間隔件;沿著第一介電間隔件的側壁形成第二介電間隔件;在形成第二介電間隔件之後,在溝槽中形成背側通孔;形成跨過第一及第二介電間隔件及背側通孔延伸的閘極結構;在形成閘極結構之後,移除未由閘極結構覆蓋的第二介電間隔件的一部分以形成第一凹陷;用第一導電材料填充第一凹陷以擴大背側通孔;在擴大背側通孔之後,蝕刻未由閘極結構覆蓋的第一介電間隔件的一部分以形成第二凹陷;以及用第二導電材料填充第二凹陷。
在本揭示的一些實施例中,一種半導體元件的形成方法包括:在基板的前側上方形成交替的第一半導體層及第二半導體層的磊晶堆疊;在磊晶堆疊旁邊形成第一介電 間隔件;在第一介電間隔件旁邊形成第二介電間隔件;在第二介電間隔件旁邊形成第三介電間隔件;鄰近第三介電間隔件形成犧牲介電層,其中第一、第二、第三介電間隔件,以及犧牲介電層由至少兩種、並且多達四種不同材料製成;在形成犧牲介電層之後,用源極/汲極磊晶結構替換磊晶堆疊的一部分;在用源極/汲極磊晶結構替換磊晶堆疊的此部分之後,對基板的背側執行化學機械研磨製程,直到暴露出犧牲介電層的底表面;移除犧牲介電層以形成凹陷,從而暴露出源極/汲極磊晶結構的側壁;以及用背側通孔填充凹陷。
100:基板
102:介電層
103:半導體層
104:半導體
120:閘極結構
130:閘極間隔件
140:源極/汲極磊晶結構
145:矽化物層
145-1:矽化物層
145-2:矽化物層
150:背側通孔
150A:第一部分
150B:第二部分
150B(150):第二部分
150C(150):第三部分
150D(150):第四部分
160:第一介電間隔件
160-1:介電間隔件
160-2:介電帽
160-3:介電帽
160H(160):水平部分
160H-1:水平部分
160H-2(160):水平部分
160H-3(160):水平部分
160V-1:垂直部分
160V-3(160):垂直部分
163:第三介電間隔件
165:第二介電間隔件
165-1:介電間隔件
165-2:介電帽
165H-2(165):水平部分
165V-1(165):垂直部分
165V-2(165):垂直部分
170:層間介電層
172:下部層間介電層
174:上部層間介電層
175:源極/汲極觸點
180:背側介電層
190:背側金屬線
260:第一介電間隔件
260-1:介電間隔件
260-2:介電帽
260-3:介電帽
260H(260):水平部分
260H-1:水平部分
260H-2(160):水平部分
260H-2(165):水平部分
260H-3(160):水平部分
260V-1:垂直部分
260V-3(160):垂直部分
265-1:介電間隔件
265-2:介電帽
265V-1(165):垂直部分
265V-2(165):垂直部分
300:犧牲介電層
350:背側通孔
540A:磊晶結構
540B:磊晶結構
540C:磊晶結構
540D:磊晶結構
545:矽化物層
550A:背側通孔
550B:背側通孔
550C:背側通孔
575A:源極/汲極觸點
575B:源極/汲極觸點
575C:源極/汲極觸點
575D:源極/汲極觸點
590A:金屬線
590B:金屬線
590C:金屬線
600:通孔
610:金屬線
620:通孔
630:金屬線
B-B:線
C-C:線
D-D:線
HM1:硬遮罩
IC1:積體電路
IC2:積體電路
PR1:光阻層
PR2:光阻抗蝕劑層
R2:凹陷
R3:凹陷
R4:凹陷
R5:凹陷
R6:凹陷
S101:方塊
S102:方塊
S103:方塊
S104:方塊
S105:方塊
S106:方塊
S107:方塊
S108:方塊
S109:方塊
S110:方塊
S111:方塊
S112:方塊
S113:方塊
S114:方塊
S115:方塊
S116:方塊
S117:方塊
S118:方塊
S119:方塊
S120:方塊
S121:方塊
S122:方塊
S123:方塊
S124:方塊
S125:方塊
S201:方塊
S202:方塊
S203:方塊
S204:方塊
S205:方塊
S206:方塊
S207:方塊
S208:方塊
S209:方塊
S210:方塊
S211:方塊
S212:方塊
S213:方塊
S214:方塊
S215:方塊
S216:方塊
S217:方塊
S218:方塊
TR1:溝槽
TR2:溝槽
W1:寬度
W2:寬度
W3:寬度
X:方向
Y:方向
Z:方向
當結合隨附圖式閱讀時,自以下詳細描述將很好地理解本揭示的態樣。注意到,根據工業中的標準實務,各個特徵並非按比例繪製。事實上,出於論述清晰的目的,可任意增加或減小各個特徵的尺寸。
第1A圖至第1E圖係根據本揭示的一些實施例的積體電路的示意圖。
第2圖至第26C圖示出了根據本揭示的一些實施例的製造積體電路的各個階段中的方法。
第27A圖及第27B圖示出了根據本揭示的一些實施例的製造積體電路的方法。
第28A圖至第28E圖係根據本揭示的一些實施例的積體電路的示意圖。
第29圖至第52C圖示出了根據本揭示的一些實施例的製造積體電路的各個階段中的方法。
第53圖至第70圖示出了根據本揭示的一些實施例的製造積體電路的各個階段中的方法。
第71圖示出了根據本揭示的一些實施例的製造積體電路的方法。
第72圖至第76圖係根據本揭示的一些實施例的積體電路的橫截面圖。
以下揭示內容提供許多不同的實施例或實例,用於實施所提供標的的不同特徵。下文描述部件及佈置的具體實例以簡化本揭示。當然,此等僅為實例且並不意欲為限制性。例如,以下描述中在第二特徵上方或第二特徵上形成第一特徵可包括以直接接觸形成第一特徵及第二特徵的實施例,且亦可包括在第一特徵與第二特徵之間形成額外特徵以使得第一特徵及第二特徵可不處於直接接觸的實施例。此外,本揭示可在各個實例中重複元件符號及/或字母。此重複係出於簡便性及清晰的目的且本身並不指示所論述的各個實施例及/或構造之間的關係。
另外,為了便於描述,本文可使用空間相對性術語(諸如「下方」、「之下」、「下部」、「之上」、「上部」及類似者)來描述諸圖中所示出的一個元件或特徵與另一元件或特徵的關係。除了諸圖所描繪的定向外,空間 相對性術語意欲涵蓋使用或操作中元件的不同定向。設備可經其他方式定向(旋轉90度或處於其他定向)且由此可同樣地解讀本文所使用的空間相對性描述詞。
閘極全包圍(GAA)電晶體結構可藉由任何適宜方法來圖案化。例如,結構可使用一或多個光微影製程(包括雙圖案化或多圖案化製程)來圖案化。通常,雙圖案化或多圖案化製程結合光微影及自對準製程,從而允許產生具有例如與可原本使用單個、直接光微影製程獲得的節距相比較小的節距的圖案。例如,在一個實施例中,犧牲層在基板上方形成並使用光微影製程圖案化。間隔件使用自對準製程在圖案化的犧牲層旁邊形成。隨後移除犧牲層,並且可隨後使用剩餘間隔件來圖案化GAA結構。
第1A圖至第1E圖係根據本揭示的一些實施例的積體電路的示意圖,其中第1A圖係積體電路IC1的透視圖,第1B圖係沿著第1A圖的線B-B的橫截面圖,第1C圖係沿著第1A圖的線C-C的橫截面圖,第1D圖係沿著第1A圖的線D-D的橫截面圖,且第1E圖係第1A圖的俯視圖。注意到,為了簡便,第1B圖至第1D圖中的一些元件未在第1A圖及第1B圖中示出。注意到,本揭示提供呈閘極全包圍(GAA)元件的形式的實施例。熟習此項技術者可認識到可獲益於本揭示的態樣的半導體元件的其他實例。例如,如本文描述的一些實施例亦可應用於平面元件或FinFET元件。
圖示為一積體電路IC1。積體電路IC1包括基板 100。在一些實施例中,基板100包括矽。或者,基板100可包括鍺、鍺矽、砷化鎵或其他適當半導體材料。亦或者,基板100可包括磊晶層。
介電層102在基板100的前側上設置。在一些實施例中,介電層102可由氧化物製成,諸如二氧化矽(SiO2),並且因此介電層102亦可以互換地稱為氧化物層。在一些其他實施例中,介電層102可由其他適宜材料(諸如氮化物、氮氧化物)製成。
積體電路IC1進一步包括在介電層102上方設置並且彼此間隔開的複數個半導體層104。由此,介電層102垂直地在基板100與半導體層104之間。半導體層104在彼此上交替地堆疊,並且每個半導體層104與上層及下層半導體層104垂直地間隔開。在一些實施例中,半導體層104可由矽、或其他適宜材料製成。在一些實施例中,半導體層104或其部分可用作積體電路IC1中的半導體元件的通道層(或通道區域)。在一些實施例中,半導體層104亦可稱為奈米結構,取決於其幾何形狀,奈米結構可以是「奈米片」或「奈米線」,並且此等奈米結構用於形成半導體元件的通道區域,諸如GAA電晶體。在下文進一步提供使用半導體層104來界定半導體元件的一或多個通道,並且因此半導體層104亦可以稱為通道層。
積體電路IC1進一步包括纏繞在半導體層104周圍的複數個閘極結構120。閘極結構120沿著第一方向(例如,X方向)延伸。在一些實施例中,閘極結構120中的 每一者覆蓋半導體層104中的每一者的至少四個側面。在一些實施例中,閘極結構120中的每一者包括閘極介電層、在閘極介電層上方的功函數金屬層、及在功函數金屬層上方的填充金屬。在第1C圖的橫截面圖中,閘極結構120與介電層102的頂表面及側壁接觸,並且與基板100的側壁接觸。在一些實施例中,基板100的最頂部表面藉由介電層102與閘極結構120分離。
在一些實施例中,閘極介電層可由高介電常數介電材料(諸如金屬氧化物、過渡金屬氧化物、或類似者)製成。高介電常數介電材料的實例包括但不限於氧化鉿(HfO2)、氧化鉿矽(HfSiO)、氧化鉿鉭(HfTaO)、氧化鉿鈦(HfTiO)、氧化鉿鋯(HfZrO)、氧化鋯、氧化鈦、氧化鋁、二氧化鉿-氧化鋁(HfO2-Al2O3)合金、或其他適用介電材料。在一些實施例中,閘極介電層可包括氧化物層。功函數金屬層可係n型或p型功函數層。示例性p型功函數金屬包括TiN、TaN、Ru、Mo、Al、WN、ZrSi2、MoSi2、TaSi2、NiSi2、WN、其他適宜p型功函數材料或其組合。示例性n型功函數金屬包括Ti、Ag、TaAl、TaAlC、TiAlN、TaC、TaCN、TaSiN、Mn、Zr、其他適宜n型功函數材料或其組合。功函數層可包括複數個層。填充金屬可包括鎢(W)、鋁(Al)、銅(Cu)、或其他適宜的導電材料。
積體電路IC1進一步包括在閘極結構120的相對側壁上設置的複數個閘極間隔件130。在一些實施例中, 閘極間隔件130可包括SiO2、Si3N4、SiOxNy、SiC、SiCN膜、SiOC、SiOCN膜、及/或其組合。
積體電路IC1進一步包括在半導體層104之間的複數個半導體層103。在一些實施例中,半導體層103垂直地在閘極間隔件之下,並且與閘極結構120的側壁接觸。
積體電路IC1進一步包括複數個源極/汲極磊晶結構140。如第1A圖所示,源極/汲極磊晶結構140在閘極結構120的相對側面上設置並且與半導體層104的側壁接觸。在一些實施例中,至少兩個源極/汲極磊晶結構140沿著第二方向(例如,Y方向)在虛設閘極結構110的相對側面上設置。在一些實施例中,半導體層104、閘極結構120、以及在半導體層104與閘極結構120的相對側面上的源極/汲極磊晶結構140在積體電路IC1內形成GAA電晶體,其中半導體層104用作電晶體的通道區域,閘極結構120用作電晶體的閘極區域,並且源極/汲極磊晶結構140用作電晶體的源極/汲極區域。在一些實施例中,源極/汲極磊晶結構140亦可以互換地稱為源極/汲極結構。在各個實施例中,源極/汲極磊晶結構140可包括Ge、Si、GaAs、AlGaAs、SiGe、GaAsP、SiP、或其他適宜材料。
在第1B圖的橫截面圖中,積體電路IC1進一步包括矽化物層145,此矽化物層具有在源極/汲極磊晶結構140的表面上設置的第一部分及在基板100的側壁上設置 的第二部分。在一些實施例,矽化物層145可包括CoSi2、TiSi2、WSi2、NiSi2、MoSi2、TaSi2、PtSi、或類似者。在一些實施例中,介電層102的表面未覆蓋矽化物層145。由此,在矽化物層145的第一部分與第二部分之間垂直地存在間隙。
積體電路IC1進一步包括與源極/汲極磊晶結構140及閘極結構120相鄰的背側通孔150。在一些實施例中,閘極結構120沿著第一方向(例如,X方向)延伸,而背側通孔150沿著實質上垂直於第一方向的第二方向(例如,Y方向)延伸。在一些實施例中,背側通孔150可以是導電材料,並且可由金屬製成,諸如銅(Cu)、鋁(Al)、釕(Ru)、鈷(Co)、鉬(Mo)、鎳(Ni)、鎢(W)、或類似者。
在第1B圖的橫截面圖中,其中第1B圖是源極/汲極磊晶結構140的橫截面圖,背側通孔150包括第一部分150A及連接到第一部分150A的第二部分150B,其中第一部分150A及第二部分150B沿著第一方向(例如,X方向)橫向地在兩個源極/汲極磊晶結構140之間。背側通孔150的第二部分150B與矽化物層145接觸並且由此電氣連接到至少一個磊晶結構140(例如,在第1B圖的左側上的磊晶結構140)。在一些實施例中,背側通孔150的第二部分150B與介電層102的側壁接觸。在一些實施例中,第一部分150A的頂表面低於第二部分150B的頂表面。在一些實施例中,第一部分150A及第二部分150B可由相同材料製成。在一些其他實施例中,第一部分150A 及第二部分150B可由不同材料製成,並且可包括其間可區分的接面。
在第1C圖的橫截面圖中,第1C圖是如第1A圖所示沿著C-C切線的閘極結構120的橫截面圖,背側通孔150包括與閘極結構120的多個部分相鄰的第三部分150C。例如,第1C圖所示的閘極結構120與背側通孔150的第三部分150C相交,使得背側通孔150的第三部分150C至少在閘極結構120的一部分下方。在一些實施例中,背側通孔150的第三部分150C具有與第1C圖的背側通孔150的第一部分150A相同的材料。
在第1D圖的橫截面圖中,第1D圖是閘極間隔件130的橫截面圖,背側通孔150包括與半導體層103相鄰的第四部分150D。在一些實施例中,背側通孔150的第四部分150D具有與第1C圖的背側通孔150的第一部分150A相同的材料。
參見第1B圖至第1D圖,背側通孔150的第一部分150A及第二部分150B具有總寬度W1,背側通孔150的第三部分150C具有寬度W2,並且背側通孔150的第四部分150D具有寬度W3,其中寬度W1大於寬度W2及W3。亦即,沿著第一方向(例如,X方向),在源極/汲極磊晶結構140上切割的橫截面圖中的背側通孔150的寬度W1大於在閘極結構120上切割的橫截面圖中的背側通孔150的寬度W2,並且亦大於在閘極間隔件130上切割的橫截面圖中的背側通孔150的寬度W3。在一些 實施例中,寬度W2實質上等於寬度W3。
在第1B圖的橫截面圖中,積體電路IC1進一步包括第一介電間隔件160。在一些實施例中,第一介電間隔件160具有倒L型橫截面。例如,第一介電間隔件160可包括垂直部分160V-1及水平部分160H,其中垂直部分160V-1沿著背側通孔150的第一部分150A的側壁延伸,並且水平部分160H-1沿著背側通孔150的第一部分150A的頂表面延伸。在一些實施例中,第一介電間隔件160的水平部分160H與背側通孔150的第二部分150B的側壁接觸,而背側通孔150的第二部分150B的頂表面未覆蓋第一介電間隔件160。在一些實施例中,第一介電間隔件160至少將背側通孔150與一個磊晶結構140(例如,在第1B圖的右側上的磊晶結構140)分離。亦即,沿著第一方向(例如,X方向),背側通孔150在兩個源極/汲極磊晶結構140之間,並且背側通孔150經由矽化物層145電氣連接到一個磊晶結構140,並且藉由第一介電間隔件160與另一個磊晶結構140分離。在一些實施例中,第一介電間隔件160可由SiO2、SiC、SiOC、SiCN、Si3N4、SiCNO、TiO2、或任何適宜的介電材料製成。
在第1C圖的橫截面圖中,第一介電間隔件160具有沿著背側通孔150的第三部分150C的頂表面延伸的水平部分160H-2。此外,積體電路IC1進一步包括在背側通孔150的第三部分150C的相對側壁上設置有垂直部分165V-1的第二介電間隔件165。在一些實施例中,第 二介電間隔件165的垂直部分165V-1分別與第一介電間隔件160的水平部分160H-2的相對側壁接觸。由此,第二介電間隔件165的垂直部分165V-1的頂表面高於背側通孔150的第三部分150C的頂表面。在一些實施例中,在第1C圖的橫截面圖中,第一介電間隔件160的水平部分160H-2及第二介電間隔件165的垂直部分165V-1將背側通孔150的第三部分150C與閘極結構120分離。在一些實施例中,第二介電間隔件165可由SiO2、SiC、SiOC、SiCN、Si3N4、SiCNO、TiO2、其他適宜的介電材料、或其組合製成。在一些實施例中,第一介電間隔件160及第二介電間隔件165由不同的介電材料製成。
在第1D圖的橫截面圖中,第一介電間隔件160包括沿著背側通孔150的第四部分150D的頂表面延伸的水平部分160H-3。第一介電間隔件160進一步包括沿著半導體層104的側壁表面延伸的垂直部分160V-3。更詳細而言,第一介電間隔件160的垂直部分160V-3與半導體層104、半導體層103、介電層102、及基板100接觸。
此外,第二介電間隔件165包括水平部分165H-2及垂直部分165V-2。在一些實施例中,第二介電間隔件165的水平部分165H-2在第一介電間隔件160的水平部分160H-3上方。亦即,第一介電間隔件160的水平部分160H-3垂直地在背側通孔150的第四部分150D與第二介電間隔件165的水平部分165H-2之間。垂直部分165V-2在背側通孔150的第四部分150D的相 對側壁上設置。在一些實施例中,第二介電間隔件165的垂直部分165V-2分別與第一介電間隔件160的水平部分160H-3的相對側壁接觸。在一些實施例中,第二介電間隔件165的垂直部分165V-2與閘極間隔件130的側壁接觸。
積體電路IC1進一步包括第三介電間隔件163,其中第三介電間隔件163中的一者在第一介電間隔件160的垂直部分160V-3與第二介電間隔件165的垂直部分165V-2之間。亦即,第一介電間隔件160的垂直部分160V-3藉由第三介電間隔件163與第二介電間隔件165的垂直部分165V-2分離。在一些實施例中,第三介電間隔件163的頂表面與閘極間隔件130接觸。亦即,第三介電間隔件163垂直地在閘極間隔件130之下。在一些實施例中,在第1B圖及第1C圖的橫截面圖中不存在第三介電間隔件163。例如,如第1C圖所示,第三介電間隔件163未垂直地在閘極結構120之下。在一些實施例中,第三介電間隔件163可由SiO2、SiC、SiOC、SiCN、Si3N4、SiCNO、TiO2、或其他適宜的介電材料製成。在一些實施例中,第一介電間隔件160、第二介電間隔件165、及第三介電間隔件163由至少兩種不同的介電材料製成。
積體電路IC1進一步包括在源極/汲極磊晶結構140上方並且橫向地圍繞閘極結構120的下部層間介電層172、以及在下部層間介電層172上方並且覆蓋閘極結構120的上部層間介電層174。在一些實施例中,下部層間 介電層172及上部層間介電層174可統稱為層間介電層170。在一些實施例中,下部層間介電層172及上部層間介電層174可包括氧化矽、氮化矽、氮氧化矽、四乙氧基矽烷(TEOS)、磷矽酸鹽玻璃(PSG)、硼磷矽酸鹽玻璃(BPSG)、低介電常數介電材料、及/或其他適宜的介電材料。低介電常數介電材料的實例包括但不限於氟化矽玻璃(FSG)、碳摻雜的氧化矽、非晶氟化碳、聚對二甲苯、雙-苯并環丁烯(BCB)、或聚醯亞胺。在一些實施例中,接觸蝕刻終止層(CESL)(未圖示)可選地在下部層間介電層172與源極/汲極磊晶結構140之間形成。CESL可包括與下部層間介電層172不同的材料,因此導致在CESL與下部層間介電層172之間的不同的蝕刻選擇性。在一些實施例中,CESL包括氮化矽、氮氧化矽或其他適宜材料。
積體電路IC1進一步包括分別在源極/汲極磊晶結構140上方設置的複數個源極/汲極觸點175。如第1B圖的橫截面圖所示,源極/汲極觸點175穿過層間介電層170延伸到矽化物層145的頂表面,並且電氣連接到對應的源極/汲極磊晶結構140。在一些實施例中,源極/汲極觸點175可包括襯墊及填充金屬。襯墊在填充金屬與下層源極/汲極磊晶結構140之間。在一些實施例中,襯墊輔助沉積填充金屬並且有助於減少填充金屬穿過層間介電層170的向外擴散。在一些實施例中,襯墊包括鈦(Ti)、氮化鈦(TiN)、鉭(Ta)、氮化鉭(TaN)、或另一適宜材料。填充金屬包括導電材料,諸如鎢(W)、銅(Cu)、鋁(Al)、 釕(Ru)、鈷(Co)、鉬(Mo)、鎳(Ni)、或其他適宜的導電材料。
積體電路IC1進一步包括在基板100的背側上設置的背側介電層180、以及在背側介電層180中的背側金屬線190。在第1A圖及第1B圖的橫截面圖中,至少一個背側金屬線190沿著基板100的背側表面、背側通孔150的背側表面、及第一介電間隔件160的背側表面延伸並且與此等背側表面接觸。在一些實施例中,背側金屬線190穿過背側通孔150及矽化物層145電氣連接到至少一個磊晶結構140(例如,在第1B圖的左側上的磊晶結構140),並且亦經由背側通孔150電氣連接到源極/汲極觸點175。在一些實施例中,背側介電層180的材料可與上部層間介電層172及下部層間介電層174類似。在一些實施例中,背側金屬線190可包括銅(Cu)、鋁(Al)、釕(Ru)、鈷(Co)、鉬(Mo)、鎳(Ni)、鎢(W)、或類似者。
在一些實施例中,背側金屬線190中的一或多者可在基板100的背側處用作一或多個背側電力軌(例如,VDD及/或VSS電力軌),並且因此背側金屬線190在此上下文中可以互換地稱為背側電力線或背側電力軌。在一些實施例中,當背側金屬線190用作背側電力軌時,電氣耦合到背側電力軌190的對應磊晶結構140(例如,在第1B圖的左側上的磊晶結構140)用作源極磊晶結構,並且電氣耦合到源極磊晶結構140的對應源極/汲極觸點175(例如,在第1B圖的左側上的源極/汲極觸點175) 可以被稱為源極觸點。
第2圖至第26C圖示出了根據本揭示的一些實施例的在製造第1A圖至第1D圖的積體電路IC1的各個階段中的方法。
參考第2圖。圖示了基板100。在一些實施例中,基板100可包括半導體材料,諸如矽。接下來,介電層102在基板100上方形成。在一些實施例中,介電層102可藉由適宜的沉積製程形成。
複數個半導體層103及半導體層104在基板100上方交替地沉積。半導體層103及半導體層104具有不同的材料及/或成分,使得半導體層103及半導體層104具有不同的蝕刻選擇性。在一些實施例中,半導體層103由SiGe製成。半導體層103的鍺百分比(原子百分比濃度)在約10%與約20%之間的範圍中,而可使用較高或較低的鍺百分比。然而,將瞭解,在本說明全文中記載的值係實例,並且可改變為不同的值。例如,半導體層103可係SixGey,例如,Si0.8Ge0.2或Si0.9Ge0.1,其中Si及Ge的相對比例可在實施例之間並且在層內變化,且本揭示不限於此。半導體層104可係不具有鍺的純矽層。半導體層104亦可係實質上純的矽層,例如,其中鍺百分比低於約1%。在一些實施例中,與半導體層104相比,半導體層103具有較高的鍺原子百分比濃度。半導體層103及104可藉由化學氣相沉積(CVD)、分子束磊晶(MBE)、或其他適宜製程來形成。在一些實施例中,半導體層103 及104藉由磊晶生長製程形成,並且因此半導體層103及104在此上下文中亦可以稱為磊晶層。
參考第3圖。硬遮罩HM1在基板100上方形成並且覆蓋半導體層103的最頂層。在一些實施例中,硬遮罩HM1由氮化矽形成,例如,使用低壓化學氣相沉積(LPCVD)或電漿增強化學氣相沉積(PECVD)。硬遮罩HM1在後續圖案化操作期間用作硬遮罩。在一些實施例中,在形成硬遮罩HM1之前可選地形成墊層。墊層可係具有例如使用熱氧化操作形成的氧化矽的薄膜。墊層可用作在半導體層103與硬遮罩HM1之間的黏附層。
光阻層PR1在硬遮罩HM1上方形成。在一些實施例中,光阻層PR1可藉由適宜的光微影製程來形成。接下來,穿過光阻層PR1執行蝕刻製程,以移除硬遮罩HM1、半導體層103、104、介電層102、及基板100的部分,以便在硬遮罩HM1、半導體層103、104、介電層102,及基板100中形成溝槽TR1。在一些實施例中,溝槽TR1暴露出硬遮罩HM1、半導體層103、104、介電層102、及基板100的側壁,並且暴露出基板100的頂表面。在一些實施例中,蝕刻製程可包括濕式蝕刻、乾式蝕刻、或其組合。
參考第4A圖及第4B圖,其中第4B圖係沿著第4A圖的線B-B的橫截面圖。介電間隔件160-1、163、165-1在溝槽TR1中形成。在一些實施例中,介電間隔件160-1沿著硬遮罩HM1、半導體層103、104、介電層 102、及基板100的側壁表面。第三介電間隔件163沿著介電間隔件160-1的側壁,並且介電間隔件165-1沿著第三介電間隔件163的側壁。在形成介電間隔件160-1、163、165-1之後,基板100的頂表面的一部分藉由介電間隔件160-1、163、165-1暴露出。在一些實施例中,介電間隔件160-1、163、165-1中的每一者具有實質上直線形狀。在一些實施例中,介電間隔件160-1、163、165-1中的每一者可藉由以下操作形成:例如,在基板上方沉積介電材料毯,接著藉由蝕刻製程來移除介電材料的水平部分並且將介電材料的垂直部分餘留在溝槽TR1中。在一些實施例中,介電間隔件160-1、163、165-1可由SiO2、SiC、SiOC、SiCN、Si3N4、SiCNO、TiO2、或其他適宜的介電材料製成。在一些實施例中,介電間隔件160-1、163、165-1由至少兩種不同材料形成,以便在結構之間提供蝕刻選擇性。在一些實施例中,例如,第一介電間隔件160-1及第三介電間隔件163由不用材料製成,在一些實施例中,第二介電間隔件165-1及第三介電間隔件163由不用材料製成,並且在一些實施例中,第一介電間隔件160-1及第二介電間隔件165-1由不用材料製成,並且在一些實施例中,第一、第二、及第三介電間隔件中的每一者由不同材料製成。
參考第5A圖及第5B圖,其中第5B圖係沿著第5A圖的線B-B的橫截面圖。背側通孔150在溝槽TR1中形成。在一些實施例中,背側通孔150在介電間隔件 160-1、163、165-1的分組之間形成,並且填充在相對側壁上提供的介電間隔件165-1之間的空間,由此一對介電間隔件165-1分別沿著背側通孔150的相對側壁。在一些實施例中,背側通孔150可係導電材料,並且可由金屬製成,諸如銅(Cu)、鋁(Al)、釕(Ru)、鈷(Co)、鉬(Mo)、鎳(Ni)、鎢(W)、或類似者。在一些實施例中,背側通孔150可藉由以下操作形成:例如,在基板100上方沉積導電材料並且填充溝槽TR1,接著進行化學機械研磨(CMP)製程來移除過量的導電材料直到暴露出硬遮罩HM1的頂表面。由此,在溝槽TR1中的導電材料的剩餘部分稱為背側通孔150。
參考第6A圖及第6B圖,其中第6B圖係沿著第6A圖的線B-B的橫截面圖。回蝕背側通孔150以在介電間隔件165-1之間形成凹陷R1。亦即,執行回蝕製程以將背側通孔150的頂表面降低至低於介電間隔件160-1、163、165-1的頂表面的水平。在一些實施例中,蝕刻製程包括濕式蝕刻、乾式蝕刻、或其組合。
參考第7A圖及第7B圖,其中第7B圖係沿著第7A圖的線B-B的橫截面圖。介電帽160-2在凹陷R1(參見第6A圖及第6B圖)中形成且蓋住回蝕的背側通孔150,並且介電帽165-2在凹陷R1中且在介電帽160-2上方形成。在一些實施例中,相應地,介電帽160-2由與介電間隔件160-1相同的材料製成,並且介電帽165-2由與介電間隔件165-1相同的材料製成。在一些實施例中,介電 帽160-2可藉由以下操作形成:例如,在基板100上方沉積介電材料並且填充凹陷R1,視情況執行化學機械研磨製程以使介電材料的頂表面與硬遮罩HM1的頂表面齊平,並且隨後執行回蝕製程以將介電材料的頂表面降低至低於介電間隔件160-1、163、165-1的頂表面的水平。在一些實施例中,因為介電帽160-2包括與介電間隔件160-1相同的材料,介電間隔件160-1可藉由回蝕製程凹陷。在一些實施例中,介電帽165-2可藉由以下操作形成:例如,沉積介電材料以填充凹陷R1且在介電帽160-2上方,並且執行化學機械研磨製程以使介電材料的頂表面與頂表面齊平。
參考第8A圖及第8B圖,其中第8B圖係沿著第8A圖的線B-B的橫截面圖。回蝕介電間隔件160-1及163以形成凹陷R2。亦即,執行回蝕製程以將介電間隔件160-1及163的頂表面降低至低於介電間隔件165-1及硬遮罩HM1的頂表面的水平。在一些實施例中,蝕刻製程可包括濕式蝕刻、乾式蝕刻、或其組合。
參考第9A圖及第9B圖,其中第9B圖係沿著第9A圖的線B-B的橫截面圖。移除硬遮罩HM1以暴露出最頂部的半導體層103。在移除硬遮罩HM1之後,介電間隔件165-1及165-2從最頂部的半導體層103的頂表面突出。在一些實施例中,硬遮罩HM1可藉由蝕刻製程移除,諸如濕式蝕刻、乾式蝕刻、或其組合。
參考第10圖。虛設閘極結構110在基板上方並 且在半導體層103、104上方形成。在一些實施例中,虛設閘極結構110跨過介電間隔件165-1及介電帽165-2延伸。例如,虛設閘極結構110與介電間隔件165-1的側壁及頂表面接觸,並且與介電帽165-2的頂表面接觸。在一些實施例中,虛設閘極結構110可藉由以下操作形成:例如,在基板100上方沉積虛設閘極材料,接著藉由圖案化製程。
在一些實施例中,虛設閘極結構110可包括閘極介電層及在閘極介電層上方的虛設閘電極。在一些實施例中,閘極介電層可例如係氧化矽、氮化矽、其組合、或類似者,並且可根據可接受的技術來沉積或熱生長。閘極介電層可藉由適宜製程形成,此製程諸如化學氣相沉積(CVD)、物理氣相沉積(PVD)、原子層沉積(ALD)、或任何適宜製程。虛設閘電極可包括多晶矽(聚Si)或多晶鍺矽(聚SiGe)。另外,虛設閘電極可係具有均勻或不均勻摻雜的摻雜聚矽。虛設閘電極可藉由適宜沉積製程形成,此沉積製程諸如化學氣相沉積(CVD)、物理氣相沉積(PVD)、原子層沉積(ALD)、或任何其他適宜的沉積製程。
參考第11圖。閘極間隔件130在虛設閘極結構110的相對側壁上形成。在一些實施例中,閘極間隔件130可藉由以下操作形成:例如,在虛設閘極結構110上方沉積閘極間隔件材料毯,接著執行蝕刻製程來移除閘極間隔件材料的水平部分,使得閘極間隔件材料的垂直部分餘留 在虛設閘極結構110的側壁上。
參考第12A圖及第12B圖,其中第12B圖係沿著第12A圖的線B-B的橫截面圖。執行蝕刻製程以移除藉由虛設閘極結構110及閘極間隔件130暴露出的介電間隔件165-1及介電帽165-2,以形成凹陷R3。此蝕刻步驟可使用選擇性蝕刻製程,此製程以與其蝕刻其他暴露出的材料(例如,介電間隔件160-1、163、介電帽160-2、半導體層103、或虛設閘極結構110及/或閘極間隔件130)相比較快的蝕刻速率蝕刻介電間隔件165-1及介電帽165-2的材料。在一些實施例中,凹陷R3中的每一者暴露出第三介電間隔件163的對應側壁、背側通孔150的側壁、及介電帽160-2的側壁。在一些實施例中,選擇性蝕刻製程可包括濕式蝕刻、乾式蝕刻、或其組合。
參考第13A圖及第13B圖,其中第13B圖係沿著第13A圖的線B-B的橫截面圖。執行蝕刻製程以移除第三介電間隔件163來擴大凹陷R3。此蝕刻步驟可使用選擇性蝕刻製程,此製程與其蝕刻其他暴露出的材料(例如,介電間隔件160-1、介電帽160-2、半導體層103、虛設閘極結構110及/或閘極間隔件130)相比較快的蝕刻速率蝕刻第三介電間隔件163的材料。在一些實施例中,在移除第三介電間隔件163之後,凹陷R3中的每一者暴露出介電間隔件160-1的側壁、背側通孔150的側壁、及介電帽160-2的側壁。
參考第14A圖及第14B圖,其中第14B圖係沿 著第14A圖的線B-B的橫截面圖。背側通孔150藉由在凹陷R3中填充導電材料來擴展。在一些實施例中,在凹陷R3中填充的導電材料與背側通孔150的材料相同。在一些實施例中,背側通孔150藉由以下操作擴展:例如,在基板100上方沉積導電材料並且填充凹陷R3,接著執行選擇性回蝕製程以選擇性蝕刻所沉積的導電材料,從而導致將導電材料的頂表面降低至低於介電間隔件160-1及介電帽160-2的頂表面的水平。在一些實施例中,在擴展背側通孔150之後,歸因於選擇性回蝕製程,凹陷R3的頂部保持未填充。換言之,可將選擇性回蝕製程的持續時間控制為:向後拉所得背側通孔150以將凹陷R3餘留在背側通孔150之上。
參考第15A圖及第15B圖,其中第15B圖係沿著第15A圖的線B-B的橫截面圖。介電帽160-3在凹陷R3中形成。在一些實施例中,介電帽160-3由與介電間隔件160-1及介電帽160-2相同的材料製成。在一些實施例中,介電帽160-3可藉由以下操作形成:例如,在基板100上方沉積介電材料並且填充凹陷R3,接著執行平坦化製程(例如,化學機械研磨)以使所沉積介電材料與介電間隔件160-1及介電帽160-2平坦化。
參考第16A圖及第16B圖,其中第16B圖係沿著第16A圖的線B-B的橫截面圖。執行蝕刻製程以移除藉由虛設閘極結構110及閘極間隔件130暴露出的半導體層103及104的部分,以形成凹陷R4。此蝕刻步驟可使 用選擇性蝕刻製程,此製程以與其蝕刻其他暴露出的材料(例如,介電間隔件160-1、介電帽160-2、160-3、虛設閘極結構110、及/或閘極間隔件130)相比較快的蝕刻速率蝕刻半導體層103(例如,SiGe層)及半導體層104(例如,Si層)。在一些實施例中,凹陷R4中的每一者暴露出介電層102的頂表面。在一些實施例中,蝕刻製程可包括濕式蝕刻、乾式蝕刻、或其組合。
參考第17A圖及第17B圖,其中第17B圖係沿著第17A圖的線B-B的橫截面圖。源極/汲極磊晶結構140在凹陷R4中並且在虛設閘極結構110的相對側面上形成。在一些實施例中,源極/汲極磊晶結構140中的每一者可藉由選擇性磊晶生長(SEG)形成。源極/汲極磊晶結構140可在磊晶製程期間藉由引入摻雜物質來原位摻雜,此等摻雜物質包括:p型摻雜劑,諸如硼或BF2;n型摻雜劑,諸如磷或砷;及/或包括其組合的其他適宜摻雜劑。若源極/汲極磊晶結構140未經原位摻雜,則執行佈植製程(亦即,接面佈植製程)以摻雜源極/汲極磊晶結構140。在一些示例性實施例中,在NFET元件中的源極/汲極磊晶結構140包括SiP,而在PFET元件中的彼等包括GeSnB及/或SiGeSnB。接下來,層間介電層172在源極/汲極磊晶結構140上方形成。在一些實施例中,層間介電層172可藉由以下操作形成:例如,在基板100上方沉積層間介電層材料層並且接著執行化學機械研磨製程來移除過量的層間介電層材料層,直到暴露出虛設閘極結構110的頂表 面。
參考第18A圖及第18B圖,其中第18B圖係沿著第18A圖的線C-C的橫截面圖。虛設閘極結構110、介電間隔件160-1及163藉由適宜的蝕刻技術移除以在對應的閘極間隔件130之間形成溝槽TR2。如第18B圖所示,歸因於移除先前由虛設閘極結構110覆蓋的介電間隔件160-1、163,溝槽TR2中的每一者至少暴露出半導體層103、104的側壁,介電層102的側壁,基板100的側壁,及介電間隔件165-1的側壁。此蝕刻步驟可包括一或多個選擇性蝕刻製程。例如,蝕刻步驟首先執行第一選擇性蝕刻製程,此製程以與其蝕刻其他材料(例如,閘極間隔件130、介電間隔件165-1、介電帽165-2、層間介電層172及/或半導體層103)相比較快的蝕刻速率蝕刻虛設閘極結構110。隨後,蝕刻步驟執行第二選擇性蝕刻製程,此製程以與其蝕刻其他材料(例如,半導體材料103、104、閘極間隔件130、介電層102、介電間隔件165-1、及介電帽165-2)相比較快的速率蝕刻介電間隔件160-1、163。在一些實施例中,選擇性蝕刻製程可包括濕式蝕刻、乾式蝕刻、或其組合。
參考第19A圖及第19B圖,其中第19B圖係沿著第19A圖的線C-C的橫截面圖。經由溝槽TR2移除半導體層103(例如,SiGe層)。在一些實施例中,蝕刻製程可包括濕式蝕刻、乾式蝕刻、或其組合。在用於移除半導體層103的蝕刻製程期間,與半導體層103相比,半 導體層104對蝕刻製程具有較高的蝕刻抗性,使得在移除半導體層103之後半導體層103保持實質上完整。由於選擇性移除半導體層103,半導體層104變成基板100上方懸出的奈米片。此步驟亦稱為通道釋放製程。在一些實施例中,在通道釋放製程之前或之後回蝕在溝槽TR2中暴露出的介電帽165-2及對應的介電間隔件165-1(例如,在第19A圖中的右側溝槽TR2)。如第20B圖中示出,從溝槽TR2回蝕介電帽165-2及介電間隔件165-1允許在後續處理中在此溝槽TR2中形成連續的閘極結構。另一方面,如第20B圖中示出,將介電帽165-2及介電間隔件165-1餘留在溝槽TR2(例如,左側溝槽TR2)中允許在後續處理中在彼溝槽TR2中形成分離的閘極結構。
參考第20A圖至第20C圖,其中第20B圖係沿著第20A圖的線B-B的橫截面圖,並且第20C圖係沿著第20A圖的線C-C的橫截面圖。閘極結構120在溝槽TR2中形成。在一些實施例中,閘極結構120可藉由以下步驟形成:例如,在溝槽TR2中形成閘極材料,諸如閘極介電層、功函數金屬層、及填充金屬,接著執行化學機械研磨製程直到暴露出層間介電層172的頂表面。閘極介電層、功函數金屬層、及填充金屬可藉由PVD、CVD、ALD、或其他適宜的沉積製程形成。
參考第21A圖及第21B圖,其中第21B圖係沿著第21A圖的線B-B的橫截面圖。圖案化的光阻抗蝕劑層PR2在基板100上方形成,並且層間介電層172的一 部分經由圖案化的光阻抗蝕劑層PR2的開口移除。在一些實施例中,層間介電層172的此部分可藉由蝕刻製程移除,諸如濕式蝕刻、乾式蝕刻、或其組合。
接下來,介電間隔件160-1及介電帽160-2、160-3的部分經由圖案化的光阻抗蝕劑層PR2的開口移除以形成凹陷R5。在一些實施例中,介電間隔件160-1及介電帽160-3的部分可藉由蝕刻製程移除,諸如濕式蝕刻、乾式蝕刻、或其組合。在一些實施例中,介電間隔件160-1的剩餘部分構成第1B圖中論述的第一介電間隔件160的垂直部分160V-1,並且介電帽160-2、160-3的剩餘部分構成第1B圖中論述的第一介電間隔件160的水平部分160H-1。
參考第22A圖及第22B圖,其中第22B圖係沿著第22A圖的線B-B的橫截面圖。背側通孔150的一部分經由圖案化的光阻抗蝕劑層PR2的開口移除。在一些實施例中,背側通孔150的部分可藉由蝕刻製程移除,諸如濕式蝕刻、乾式蝕刻、或其組合。在一些實施例中,將背側通孔150的剩餘部分標記為150A。
接下來,矽化物層145-1在磊晶結構140及基板100的暴露表面上形成。例如,形成(矽化製程)矽化物層145-1可包括在基板100上方沉積金屬層,諸如執行濺射,並且隨後執行退火製程,諸如快速熱退火(RTA)處理。在源極/汲極磊晶結構140及基板100的表面之上的金屬層可與源極/汲極磊晶結構140及基板100的矽(Si)反應 並且轉化為金屬矽化物。隨後移除未反應的金屬層。與在介電層102的暴露表面上相比,矽化物層145-1可在磊晶結構140及基板100的暴露表面上具有較高生長速率。在一些實施例中,矽化物層145-1不會在介電層102的暴露表面上形成。
參考第23A圖及第23B圖,其中第23B圖係沿著第23A圖的線B-B的橫截面圖。導電材料150B在凹陷R5中形成。在一些實施例中,導電材料150B具有與背側通孔150的剩餘第一部分150A相同的材料,並且因此可以用作背側通孔150的第二部分150B,如在第1B圖至第1D圖中論述。在一些實施例中,導電材料150B可藉由以下操作形成:例如,在基板100上方沉積導電層並且填充凹陷R5,接著執行回蝕製程以將導電層的頂表面降低至期望位置。
參考第24A圖至第24C圖,其中第24B圖係沿著第24A圖的線B-B的橫截面圖,並且第24C圖係沿著第24A圖的線C-C的橫截面圖。層間介電層174在基板100上方形成並且覆蓋層間介電層172。接下來,形成延伸穿過層間介電層172及174的源極/汲極觸點175,並且分別電氣連接至源極/汲極磊晶結構140。在一些實施例中,至少一個源極/汲極觸點175與背側通孔150的頂表面接觸。源極/汲極觸點175可藉由以下操作形成:例如,圖案化層間介電層172及174以形成開口,在開口中填充導電材料,接著執行化學機械研磨製程以移除過量的導電 材料直到暴露出層間介電層174的頂表面。在一些實施例中,在將導電材料填充到開口中之前,可執行矽化製程以在源極/汲極磊晶結構140的暴露表面上方形成矽化物層145-2。矽化物層145-2的形成可類似於矽化物層145-1。在一些實施例中,矽化物層145-1及145-2可統稱為矽化物層145。
參考第25A圖至第25C圖,其中第25B圖係沿著第25A圖的線B-B的橫截面圖,並且第25C圖係沿著第25A圖的線C-C的橫截面圖。化學機械研磨製程在基板100的背側上執行,以便移除基板100的多個部分直到暴露出背側通孔150。
參考第26A圖至第26C圖,其中第26B圖係沿著第26A圖的線B-B的橫截面圖,並且第26C圖係沿著第26A圖的線C-C的橫截面圖。背側介電層180在基板100的背側上形成,並且背側金屬線190在背側介電層180中形成。在一些實施例中,背側介電層180可藉由PVD、CVD、ALD、或其他適宜的沉積製程來沉積。背側金屬線190可藉由圖案化背側介電層180以形成開口並且在開口中填充導電材料來形成。
在一些實施例中,在第2圖至第26C圖中描述的製程之後,第三介電間隔件163的部分可餘留在閘極間隔件130下方,並且所得結構可以在第1D圖處看到。
第27A圖及第27B圖示出了根據本揭示的一些實施例的製造積體電路的方法M1。儘管將方法M1示出及/ 或描述為一系列動作或事件,將瞭解,方法不限於所示出的排序或動作。因此,在一些實施例中,動作可以與所示出者不同的次序執行及/或可同時執行。另外,在一些實施例中,所示出的動作或事件可細分為多個動作或事件,此等動作或事件可與其他動作或子動作分開執行或同時執行。在一些實施例中,可省去一些示出的動作或事件,並且可包括其他未示出的動作或事件。
於方塊S101,在基板上方交替地沉積複數個第一半導體層及第二半導體層。第2圖示出了對應於方塊S101中的動作的一些實施例的示意圖。
於方塊S102,硬遮罩在最頂部的第二半導體層上方形成,並且圖案化第一半導體層及第二半導體層以形成溝槽。第3圖示出了對應於方塊S102中的動作的一些實施例的示意圖。
於方塊S103,第一、第二、及第三介電間隔件在溝槽中形成。第4A圖及第4B圖示出了對應於方塊S103中的動作的一些實施例的示意圖。
於方塊S104,背側通孔在溝槽中形成。第5A圖及第5B圖示出了對應於方塊S104中的動作的一些實施例的示意圖。
於方塊S105,回蝕背側通孔以形成凹陷。第6A圖及第6B圖示出了對應於方塊S105中的動作的一些實施例的示意圖。
於方塊S106,第一介電帽在凹陷中形成,並且第 三介電帽在第一介電帽上方形成。第7A圖及第7B圖示出了對應於方塊S106中的動作的一些實施例的示意圖。
於方塊S107,回蝕第一及第二介電間隔件。第8A圖及第8B圖示出了對應於方塊S107中的動作的一些實施例的示意圖。
於方塊S108,移除硬遮罩。第9A圖及第9B圖示出了對應於方塊S108中的動作的一些實施例的示意圖。
於方塊S109,虛設閘極結構在基板上方形成。第10圖示出了對應於方塊S109中的動作的一些實施例的示意圖。
於方塊S110,閘極間隔件在虛設閘極結構的相對側壁上形成。第11圖示出了對應於方塊S110中的動作的一些實施例的示意圖。
於方塊S111,移除藉由虛設閘極結構及閘極間隔件暴露出的第三介電間隔件及第二介電帽以形成凹陷。第12A圖及第12B圖示出了對應於方塊S111中的動作的一些實施例的示意圖。
於方塊S112,移除第二介電間隔件以擴大凹陷。第13A圖及第13B圖示出了對應於方塊S112中的動作的一些實施例的示意圖。
於方塊S113,導電材料填充在凹陷中以擴展背側通孔。第14A圖及第14B圖示出了對應於方塊S113中的動作的一些實施例的示意圖。
於方塊S114,第三介電帽在凹陷中形成。第15A圖及第15B圖示出了對應於方塊S114中的動作的一些實施例的示意圖。
於方塊S115,執行蝕刻製程以移除藉由虛設閘極結構及閘極間隔件暴露出的第一及第二半導體層的部分以形成凹陷。第16A圖及第16B圖示出了對應於方塊S115中的動作的一些實施例的示意圖。
於方塊S116,磊晶結構在凹陷中形成,並且第一層間介電層在磊晶結構上方形成。第17A圖及第17B圖示出了對應於方塊S116中的動作的一些實施例的示意圖。
於方塊S117,移除虛設閘極結構以及在閘極間隔件之間的第一及第二介電間隔件以形成閘極溝槽。第18A圖及第18B圖示出了對應於方塊S117中的動作的一些實施例的示意圖。
於方塊S118,經由閘極溝槽移除第二半導體層。第19A圖及第19B圖示出了對應於方塊S118中的動作的一些實施例的示意圖。
於方塊S119,金屬閘極結構在閘極溝槽中形成。第20A圖至第20C圖示出了對應於方塊S119中的動作的一些實施例的示意圖。
於方塊S120,光阻抗蝕劑層在基板上方形成,第一層間介電層的一部分經由光阻抗蝕劑層的開口移除,並且移除第一介電間隔件、第一介電帽、及第三介電帽的部 分。第21A圖及第21B圖示出了對應於方塊S210中的動作的一些實施例的示意圖。
於方塊S121,背側通孔的一部分經由光阻抗蝕劑層的開口移除以形成凹陷。第22A圖及第22B圖示出了對應於方塊S121中的動作的一些實施例的示意圖。
於方塊S122,導電材料在凹陷中形成。第23A圖及第23B圖示出了對應於方塊S122中的動作的一些實施例的示意圖。
於方塊S123,第二層間介電層在基板上方形成且覆蓋第一層間介電層,並且形成延伸穿過第一及第二層間介電層的源極/汲極觸點。第24A圖至第24C圖示出了對應於方塊S123中的動作的一些實施例的示意圖。
於方塊S124,化學機械研磨製程在基板的背側上執行,以便移除基板的部分直到暴露出背側通孔。第25A圖至第25C圖示出了對應於方塊S124中的動作的一些實施例的示意圖。
於方塊S125,介電層在基板的背側上形成,並且金屬線在介電層中形成。第26A圖至第26C圖示出了對應於方塊S125中的動作的一些實施例的示意圖。
第28A圖至第28E圖係根據本揭示的一些實施例的積體電路IC2的示意圖,其中第28A圖係積體電路IC1的透視圖,第28B圖係沿著第28A圖的線B-B的橫截面圖,第28C圖係沿著第28A圖的線C-C的橫截面圖,第28D圖係沿著第28A圖的線D-D的橫截面圖,並且第 28E圖係第28A圖的俯視圖。注意到,為了簡便,第28A圖至第28D圖中的一些元件未在第2A圖及第2B圖中示出。注意到,第28A圖至第28D圖的一些元件類似於第1A圖至第1D圖中描述的彼等,將此些元件標記為相同,並且為了簡潔將不重複相關的結構細節。
類似於第1A圖至第1D圖的積體電路IC1,第28A圖至第28D圖的積體電路IC2包括第一介電間隔件265及第二介電間隔件265。
在第28B圖的橫截面圖中,第一介電間隔件260包括水平部分260H-1及垂直部分260V-1。另一方面,在第28C圖的橫截面圖中,第一介電間隔件260具有水平部分260H-2,並且第二介電間隔件265具有垂直部分265V-1。注意到,第28B圖及第28C圖中的元件的結構關係類似於第1B圖及第1C圖中描述的彼等,並且因此為了簡潔將不重複相關細節。
在第28D圖的橫截面圖中,第一介電間隔件260具有水平部分260H-3及垂直部分260V-3,並且第二介電間隔件265具有水平部分265H-2及垂直部分265V-2。第28D圖與第1D圖的不同之處在於在第一介電間隔件260的垂直部分260V-3與第二介電間隔件265的垂直部分260V-2之間不存在介電間隔件(例如,第1D圖中的第三介電間隔件163)。亦即,第一介電間隔件260的垂直部分260V-3與第二介電間隔件265的垂直部分260V-2接觸。在一些實施例中,第28D圖中的第一介電 間隔件260的垂直部分260V-3與第28B圖中的第一介電間隔件260的垂直部分260V-1相比較寬。
第29圖至第52C圖示出了根據本揭示的一些實施例在製造第28A圖至第28D圖的積體電路IC2的各個階段中的方法。注意到,第29圖至第52C圖的一些製程類似於第2圖至第26C圖中描述的彼等,並且因此為了簡潔將不重複相關細節。
參考第29圖。介電層102在基板100上方形成,並且複數個半導體層103及半導體層104在基板100上方交替地沉積。
參考第30圖。硬遮罩HM1在基板100上方形成並且覆蓋半導體層103的最頂層。光阻層PR1在硬遮罩HM1上方形成。接下來,經由光阻層PR1執行蝕刻製程,以移除硬遮罩HM1、半導體層103、104、介電層102、及基板100的部分,以便在硬遮罩HM1、半導體層103、104、介電層102、及基板100中形成溝槽TR1。
參考第31A圖及第31B圖,其中第31B圖係沿著第31A圖的線B-B的橫截面圖。介電間隔件260-1及265-1在溝槽TR1中形成。在第31B圖的橫截面圖中,介電間隔件260-1形成為具有與介電間隔件265-1相比較寬的橫向寬度。介電間隔件260-1及265-1可藉由與第4A圖及第4B圖的介電間隔件160-1及165-1類似的方法形成。
參考第32A圖及第32B圖,其中第32B圖係沿 著第32A圖的線B-B的橫截面圖。背側通孔150在溝槽TR1中形成。
參考第33A圖及第33B圖,其中第33B圖係沿著第33A圖的線B-B的橫截面圖。回蝕背側通孔150。接下來,介電帽260-2在背側通孔150上方形成,並且介電帽265-2在介電帽260-2上方形成。第33A圖及第33B圖中描述的製程類似於第6A圖至第7B圖中描述的彼等。
參考第34A圖及第34B圖,其中第34B圖係沿著第34A圖的線B-B的橫截面圖。回蝕介電間隔件260-1以形成凹陷R2。
參考第35A圖及第35B圖,其中第35B圖係沿著第35A圖的線B-B的橫截面圖。移除硬遮罩HM1以暴露出最頂部的半導體層103。
參考第36A圖及第36B圖,其中第36B圖係沿著第36A圖的線B-B的橫截面圖。虛設閘極結構110在基板上方並且在半導體鰭103、104上方形成。
參考第37圖。閘極間隔件130在虛設閘極結構110的相對側壁上形成。
參考第38A圖及第38B圖,其中第38B圖係沿著第38A圖的線B-B的橫截面圖。執行蝕刻製程以移除藉由虛設閘極結構110及閘極間隔件130暴露出的介電間隔件265-1及介電帽265-2以形成凹陷R3。
參考第39A圖及第39B圖,其中第39B圖係沿 著第39A圖的線B-B的橫截面圖。背側通孔150藉由在凹陷R3中填充導電材料來擴展。
參考第40A圖及第40B圖,其中第40B圖係沿著第40A圖的線B-B的橫截面圖。介電帽260-3在凹陷R3中形成。介電帽260-3可藉由與第15A圖及第15B圖中描述者類似的方法形成。
參考第41A圖及第41B圖,其中第41B圖係沿著第41A圖的線B-B的橫截面圖。執行蝕刻製程以移除藉由虛設閘極結構110及閘極間隔件130暴露出的半導體層103及104的部分以形成凹陷R4。
參考第42A圖及第42B圖,其中第42B圖係沿著第42A圖的線B-B的橫截面圖。源極/汲極磊晶結構140在凹陷R4中並且在虛設閘極結構110的相對側面上形成。接下來,層間介電層172在源極/汲極磊晶結構140上方形成。
參考第43A圖及第43B圖,其中第43B圖係沿著第43A圖的線C-C的橫截面圖。移除虛設閘極結構110、介電間隔件260-1以在閘極間隔件130之間形成溝槽TR2。
參考第44A圖及第44B圖,其中第44B圖係沿著第44A圖的線C-C的橫截面圖。移除介電間隔件265-2的一部分以暴露出介電帽260-2。在一些實施例中,在第44A圖中,移除在第44A圖的右側上的溝槽TR2中的介電帽265-2的一部分,而餘留在第44A圖的左側上的溝 槽TR2中的介電帽265-2的另一部分。在一些實施例中,介電帽265-2的部分可藉由以下操作移除:在基板100上方形成暴露出待移除的介電帽265-2的部分的圖案化遮罩,並且接著執行蝕刻製程,諸如濕式蝕刻、乾式蝕刻、或其組合。
參考第45A圖及第45B圖,其中第45B圖係沿著第45A圖的線C-C的橫截面圖。半導體層103經由溝槽TR2移除。
參考第46A圖至第46C圖,其中第46B圖係沿著第46A圖的線BB的橫截面圖,並且第46C圖係沿著第46A圖的線C-C的橫截面圖。閘極結構120在溝槽TR2中形成。
參考第47A圖及第47B圖,其中第47B圖係沿著第47A圖的線B-B的橫截面圖。圖案化的光阻抗蝕劑層PR2在基板100上方形成,並且層間介電層172的一部分經由圖案化的光阻抗蝕劑層PR2的開口移除。接下來,介電間隔件260-1以及介電帽2060-2及260-3的部分經由圖案化的光阻抗蝕劑層PR2的開口移除以形成凹陷R5。
參考第48A圖及第48B圖,其中第48B圖係沿著第48A圖的線B-B的橫截面圖。背側通孔150的一部分經由圖案化的光阻抗蝕劑層PR2的開口移除。接下來,矽化物層145-1在磊晶結構140及基板100的暴露表面上形成。
參考第49A圖及第49B圖,其中第49B圖係沿著第49A圖的線BB的橫截面圖。導電材料150B在凹陷R5中形成。
參考第50A圖至第50C圖,其中第50B圖係沿著第50A圖的線B-B的橫截面圖,並且第50C圖係沿著第50A圖的線C-C的橫截面圖。層間介電層174在基板100上方形成並且覆蓋層間介電層172。接下來,形成延伸穿過層間介電層172及174的源極/汲極觸點175,並且分別電氣連接到源極/汲極磊晶結構140。
參考第51A圖至第51C圖,其中第51B圖係沿著第51A圖的線B-B的橫截面圖,並且第51C圖係沿著第51A圖的線C-C的橫截面圖。化學機械研磨製程在基板100的背側上執行,以便移除基板100的部分直到暴露出背側通孔150。
參考第52A圖至第52C圖,其中第52B圖係沿著第52A圖的線B-B的橫截面圖,並且第52C圖係沿著第52A圖的線C-C的橫截面圖。背側介電層180在基板100的背側上形成,並且背側金屬線190在介電層200中形成。
第53圖至第70圖示出了根據本揭示的一些實施例的製造積體電路的各個階段中的方法。注意到,第53圖至第69圖的一些製程類似於第2圖至第26C圖中描述的彼等,並且因此為了簡潔將不重複相關細節。
參考第53圖。介電層102在基板100上方形成, 並且在基板100上方交替地沉積複數個半導體層103及半導體層104。硬遮罩HM1在基板100上方形成並且覆蓋半導體層103的最頂層。光阻層PR1在硬遮罩HM1上方形成。接下來,穿過光阻層PR1執行蝕刻製程,以移除硬遮罩HM1、半導體層103、104、介電層102、及基板100的部分,以便在硬遮罩HM1、半導體層103、104、介電層102、及基板100中形成溝槽TR1。
參考第54圖。介電間隔件160-1、163、及165-1在溝槽TR1中形成。
參考第55圖。犧牲介電層300在溝槽TR1中形成。在一些實施例中,犧牲介電層300在介電間隔件160-1、165-1、163的分組之間形成並且填充在相對側壁上提供的介電間隔件165-1之間的空間,藉此一對介電間隔件165-1分別沿著犧牲介電層300的相對側壁。在一些實施例中,犧牲介電層300可由SiO2、SiC、SiOC、SiCN、Si3N4、SiCNO、TiO2、或其他適宜的介電材料製成。在一些實施例中,介電間隔件160-1、163、165-1、及犧牲介電層300包括至少兩種,以及多達四種不同材料以便在各種結構之間提供蝕刻選擇性。
參考第56圖。回蝕犧牲介電層300。接下來,介電帽160-2在犧牲介電層300上方形成,並且介電帽165-2在介電帽160-2上方形成。
參考第57圖。移除硬遮罩HM1以暴露出最頂部的半導體層103。
參考第58圖。已經部分移除(回蝕)第一及第三介電間隔件160-1、163以形成凹陷R2。
參考第59圖。已經移除第二及第三介電間隔件163、165-1以形成凹陷R3。
參考第60圖。藉由在凹陷R3中填充介電材料來擴展犧牲介電層300。在一些實施例中,在凹陷R3中填充的介電材料與犧牲介電層300的材料相同。在一些實施例中,犧牲介電層300藉由以下操作來擴展:例如,在基板100上方沉積介電材料並且填充凹陷R3,接著執行蝕刻製程以將介電材料的頂表面降低至低於介電間隔件160-1及介電帽160-2的頂表面的水平。在一些實施例中,在擴展犧牲介電層300之後,凹陷R3的頂部保持未填充。
參考第61圖。介電帽160-3在凹陷R3中形成。
參考第62圖。半導體層103及104的部分用源極/汲極磊晶結構140替換。接下來,層間介電層172在源極/汲極磊晶結構140上方形成。例如,執行蝕刻製程以移除半導體層103及104的部分來形成凹陷,源極/汲極磊晶結構140在凹陷中形成,並且層間介電層172在源極/汲極磊晶結構140上方沉積。
參考第63圖。圖案化的光阻抗蝕劑層PR2在基板100上方形成,並且層間介電層172的一部分經由圖案化的光阻抗蝕劑層PR2的開口移除。接下來,介電間隔件160-1及介電帽160-3的部分經由圖案化的光阻抗蝕劑層PR2的開口移除以形成凹陷R5。在一些實施例中,凹 陷R5至少暴露出犧牲介電層300的頂表面及側壁。
參考第64圖。介電材料在凹陷R5中形成,以便擴大犧牲介電層300。在一些實施例中,介電材料由與犧牲介電層300相同的材料製成。在一些實施例中,介電材料可藉由以下操作形成:例如,在基板100上方沉積介電層並且填充凹陷R5,接著執行回蝕製程以將介電層的頂表面降低至期望位置。
參考第65圖。層間介電層174在基板100上方形成並且覆蓋層間介電層172。接下來,形成延伸穿過層間介電層172及174的源極/汲極觸點175,並且源極/汲極觸點175分別電氣連接到源極/汲極磊晶結構140。
參考第66圖。化學機械研磨製程在基板100的背側上執行,以便移除基板100的部分直到暴露出犧牲介電層300。在一些實施例中,第65圖所示的結構顛倒,使得朝向圖的頂部導引基板100的背側。
參考第67圖。移除犧牲介電層300以形成凹陷R6。在一些實施例中,凹陷R6暴露出源極/汲極磊晶結構140中的一者的側壁。在一些實施例中,犧牲介電層300藉由適宜的蝕刻製程移除,諸如濕式蝕刻、乾式蝕刻、或其組合。
參考第68圖。矽化物層145在源極/汲極磊晶結構140的暴露表面上形成。
參考第69圖。背側通孔350在凹陷R6中形成。在一些實施例中,背側通孔350藉由以下操作形成:例如, 在凹陷R6中沉積導電材料,並且執行化學機械研磨製程以移除過量的導電材料直到暴露出基板100。
參考第70圖。背側介電層180在基板100的背側上形成,並且背側金屬線190在背側介電層180中形成。
第71圖示出了根據本揭示的一些實施例的製造積體電路的方法M2。儘管將方法M1示出及/或描述為一系列動作或事件,將瞭解,方法不限於所示出的排序或動作。因此,在一些實施例中,動作可以與所示出者不同的次序執行及/或可同時執行。另外,在一些實施例中,所示出的動作或事件可細分為多個動作或事件,此等動作或事件可與其他動作或子動作分開執行或同時執行。在一些實施例中,可省去一些示出的動作或事件,並且可包括其他未示出的動作或事件。
於方塊S201,在基板上方交替地沉積複數個第一半導體層及第二半導體層,硬遮罩在最頂部的第二半導體層上方形成,並且圖案化第一半導體層及第二半導體層以形成溝槽。第53圖示出了對應於方塊S201中的動作的一些實施例的示意圖。
於方塊S202,第一、第二、及第三介電間隔件在溝槽中形成。第54圖示出了對應於方塊S202中的動作的一些實施例的示意圖。
於方塊S203,犧牲介電層在溝槽中形成。第55圖示出了對應於方塊S203中的動作的一些實施例的示意 圖。
於方塊S204,回蝕犧牲介電層,第一介電帽在犧牲介電層上方形成,並且第二介電帽在第一介電帽上方形成。第56圖示出了對應於方塊S204中的動作的一些實施例的示意圖。
於方塊S205,移除硬遮罩。第57圖示出了對應於方塊S205中的動作的一些實施例的示意圖。
於方塊S206,回蝕第一及第二介電間隔件。第58圖示出了對應於方塊S206中的動作的一些實施例的示意圖。
於方塊S207,移除第二及第三介電間隔件以形成凹陷。第59圖示出了對應於方塊S207中的動作的一些實施例的示意圖。
於方塊S208,介電材料在凹陷中填充以擴展犧牲介電層。第60圖示出了對應於方塊S208中的動作的一些實施例的示意圖。
於方塊S209,第三介電帽在凹陷中形成。第61圖示出了對應於方塊S209中的動作的一些實施例的示意圖。
於方塊S210,第一及第二半導體層的部分用磊晶結構替換,並且第一層間介電層在磊晶結構上方形成。第62圖示出了對應於方塊S210中的動作的一些實施例的示意圖。
於方塊S211,光阻抗蝕劑層在基板上方形成,第 一層間介電層的一部分經由光阻抗蝕劑層的開口移除,並且第一介電間隔件、第一介電帽、及第三介電帽的部分經由光阻抗蝕劑層的開口移除以形成凹陷。第63圖示出了對應於方塊S211中的動作的一些實施例的示意圖。
於方塊S212,介電材料在凹陷中形成。第64圖示出了對應於方塊S212中的動作的一些實施例的示意圖。
於方塊S213,第二層間介電層在基板上方形成並且覆蓋第一層間介電層,並且形成延伸穿過第一及第二層間介電層的源極/汲極觸點。第65圖示出了對應於方塊S213中的動作的一些實施例的示意圖。
於方塊S214,化學機械研磨製程在基板的背側上執行,以便移除基板的部分直到暴露出犧牲介電層。第66圖示出了對應於方塊S214中的動作的一些實施例的示意圖。
於方塊S215,移除犧牲介電層以形成凹陷。第67圖示出了對應於方塊S215中的動作的一些實施例的示意圖。
於方塊S216,矽化物層在磊晶結構的暴露表面上形成。第68圖示出了對應於方塊S216中的動作的一些實施例的示意圖。
於方塊S217,背側通孔在凹陷中形成。第69圖示出了對應於方塊S217中的動作的一些實施例的示意圖。
於方塊S218,介電層在基板的背側上形成,並且金屬線在介電層中形成。第70圖示出了對應於方塊S218中的動作的一些實施例的示意圖。
第72圖至第76圖係根據本揭示的一些實施例的積體電路的橫截面圖。注意到,將第72圖至第76圖的類似元件標記為相同,並且為了簡潔將不重複相關細節。
在第72圖中,圖示了積體電路IC3。積體電路IC3包括磊晶結構540A、540B、540C、540D,其中磊晶結構540A至540D類似於第1A圖至第71圖中描述的源極/汲極磊晶結構140。積體電路IC3包括背側通孔550A、550B、550C,其中背側通孔550A、550B、550C類似於如參考第1A圖至第71圖描述的背側通孔150、350。積體電路IC3包括源極/汲極觸點575A、575B,其中源極/汲極觸點575A、575B類似於在第1A圖至第71圖中描述的源極/汲極觸點175。通孔600在源極/汲極觸點575A、575B上方設置並且電氣連接到源極/汲極觸點575A、575B,金屬線610在通孔600上方設置並且電氣連接到通孔600,通孔620在金屬線610上方設置並且電氣連接到金屬線610,以及金屬線630在通孔620上方設置並且電氣連接到通孔620。積體電路IC3包括分別與背側通孔550A、550B、550C接觸的金屬線590A、590B、590C,其中金屬線590A、590B、590C類似於第1A圖至第71圖中描述的背側金屬線190。在一些實施例中,金屬線590A、590B、590C可用作電力軌。例如, 在一些實施例中,金屬線590B用作電力軌(亦稱為VDD軌),並且金屬線590A及590C用作真實電力軌(亦稱為TVDD軌)。TVDD軌(例如,金屬線590A、590C)係當IC開啟時總是開啟的電力軌。例如,TVDD軌耦合到IC的電力供應終端,而其間沒有開關。相比之下,電力軌(例如,金屬線590B)係虛擬電力軌,此等虛擬電力軌經由一或多個開關耦合到IC的電力供應終端,此等開關用以在一或多種預定條件下(例如,當IC係處於閒置或睡眠模式時)關閉虛擬電力軌以節省能量。
在第72圖中,背側通孔550A在磊晶結構540A與540B之間,並且經由矽化物層145電氣連接到磊晶結構540A及540B。因此,磊晶結構540A及540B經由背側通孔550A電氣連接到金屬線590A。背側通孔550C在磊晶結構540C及540D之間,並且經由矽化物層145電氣連接到磊晶結構540C及540D。因此,磊晶結構540C及540D經由背側通孔550C電氣連接到金屬線590C。另一方面,背側通孔550B在相鄰的磊晶結構540B及540C之間但未電氣連接到此等磊晶結構。
此外,源極/汲極觸點575A在磊晶結構540A及540B上方延伸,並且與背側通孔550A和矽化物層545接觸。因此,源極/汲極觸點575A經由背側通孔550A電氣連接到金屬線590A。源極/汲極觸點575B在磊晶結構540C及540D上方延伸,並且與背側通孔550C接觸。因此,源極/汲極觸點575B經由背側通孔550C電氣連接 到金屬線590C。
在第72圖的一些實施例中,一個背側通孔電氣連接到兩個磊晶結構,使得磊晶結構電氣連接到背側金屬線(或背側電力軌)。此舉可以降低在磊晶結構與背側金屬線之間的電阻。此外,源極/汲極觸點經由背側通孔電氣連接到背側金屬線。亦即,在背側處的金屬線與在前側處的金屬線之間的導電路徑可繞過具有較高電阻的磊晶結構,並且可以減小在背側處的金屬線與在前側處的金屬線之間的電阻。
在一些實施例中,第72圖的積體電路IC3用於頭部電路。頭部電路可包括複數個頭部,此等頭部用作電力軌(例如,金屬線590A、590B、590C)的開關,並且每個頭部可在電力軌與積體電路中的其他元件之間耦合。在一些實施例中,每個頭部包括至少一個電晶體。若頭部中的電晶體關閉,則頭部用作開路,並且將對應電力軌從元件電氣斷開。若頭部中的電晶體接通,則頭部用作電路路徑,用於電流流過電晶體的源極及汲極,並且因此電流可以施加於元件。
參考第73圖。圖示了積體電路IC4。第73圖的積體電路IC4與第72圖的積體電路IC3的不同之處在於背側通孔550A與磊晶結構540B分離。此外,積體電路IC4包括在磊晶結構540A及背側通孔550A上方的源極/汲極觸點575A、以及在磊晶結構540B上方的源極/汲極觸點575C。類似於第72圖,金屬線590B可用作電力 軌(亦稱為VDD軌),並且金屬線590A及590C可用作真實電力軌(亦稱為TVDD軌)。
參考第74圖。圖示了積體電路IC5。第74圖的積體電路IC5與第73圖的積體電路IC4的不同之處在於沒有金屬線與背側通孔550A、550B、550C的底表面接觸。在第74圖的一些實施例中,源極/汲極觸點575A與背側通孔550A接觸,並且源極/汲極觸點585B與背側通孔550C接觸。背側通孔550A及550c可以提供額外的電流路徑,並且將進一步改善元件效能且提供路由靈活性。
參考第75圖。圖示了積體電路IC6。第75圖的積體電路IC6與第74圖的積體電路IC5的類似之處在於沒有金屬線與背側通孔550A、550B、550C的底表面接觸。背側通孔550A電氣連接到磊晶結構540B,並且與磊晶結構540A分離。背側通孔550C電氣連接到磊晶結構540C,並且與磊晶結構540D分離。在一些實施例中,積體電路IC6包括分別在磊晶結構540A至540D上方的源極/汲極觸點575A、575B、575C、及575D。在一些實施例中,源極/汲極觸點575B與背側通孔550A的頂表面接觸,並且背側通孔550A的頂表面的至少一部分未由源極/汲極觸點575B覆蓋。源極/汲極觸點575C與背側通孔550C的頂表面接觸,並且背側通孔550C的頂表面的至少一部分未由源極/汲極觸點575C覆蓋。
參考第76圖。圖示了積體電路IC7。第76圖的 積體電路IC7類似於第75圖的積體電路IC6。第76圖的積體電路IC7與第75圖的積體電路IC6的不同之處在於背側通孔550A的整個頂表面藉由源極/汲極觸點575B覆蓋,並且背側通孔550C的整個頂表面未由源極/汲極觸點575C覆蓋。
基於以上論述,可以看到本揭示提供了優點。然而,應當理解,其他實施例可提供額外優點,並且在本文中不一定揭示所有優點,且另外無特定優點對於所有實施例為必需。一個優點是背側通孔在磊晶結構旁邊形成,而非在磊晶結構下方,使得降低晶片高度。另一優點是源極/汲極觸點可接觸背側通孔,使得在元件的背側處的金屬線可電氣連接到元件的前側處的金屬線。亦即,在背側處的金屬線與在前側處的金屬線之間的導電路徑將繞過具有較高電阻的磊晶結構,並且可減小在背側處的金屬線與在前側處的金屬線之間的電阻。這將進一步改善元件效能並且提供路由靈活性。又一優點是當一個背側通孔電氣連接到兩個磊晶結構時,使得磊晶結構電氣連接到一個背側金屬線(或背側電力軌),在磊晶結構與背側金屬線之間存在較小電阻。
在本揭示的一些實施例中,一種半導體元件包括基板、閘極結構、源極/汲極結構、背側通孔、及電力軌。閘極結構沿著與基板的前側表面平行的第一方向延伸。源極/汲極結構分別在閘極結構的相對側面上。背側通孔沿著與基板的前側表面平行但垂直於第一方向的第二方向延伸, 背側通孔具有沿著第一方向與源極/汲極結構中的一者對準的第一部分以及沿著第一方向與閘極結構對準的第二部分,背側通孔的第一部分具有沿著第一方向的第一寬度,並且背側通孔的第二部分具有沿著第一方向的第二寬度,其中第一寬度大於第二寬度。電力軌在基板的背側表面上並且與背側通孔接觸。
在部分實施例中,進一步包含在閘極結構之下的一介電層,其中背側通孔的第一部分與介電層的一側表面接觸。
在部分實施例中,進一步包含一第一介電間隔件,其中第一介電間隔件具有與背側通孔的第一部分的一頂表面接觸的一水平部分及與背側通孔的第一部分的一第一側壁接觸的一垂直部分。
在部分實施例中,進一步包含一第一介電間隔件,第一介電間隔件具有與背側通孔的第一部分的一第一側壁接觸的一第一垂直部分以及與背側通孔的第一部分的一第二側壁接觸的一第二垂直部分。
在部分實施例中,其中電力軌與第一介電間隔件的垂直部分的一底表面接觸。
在部分實施例中,進一步包含一第一介電間隔件,在背側通孔的第二部分的一頂表面上;以及一第二介電間隔件,在背側通孔的一側壁上且在第一介電間隔件的一側壁上,其中第二介電間隔件橫向地在閘極結構與背側通孔之間。
在部分實施例中,其中閘極結構與第二介電間隔件的一頂表面接觸。
在部分實施例中,其中電力軌與第二介電間隔件的一底表面接觸。
在部分實施例中,進一步包含奈米片,以一間隔開的方式在彼此之上佈置,此等奈米片中的每一者藉由閘極結構圍繞;一閘極間隔件,在些奈米片之上並且在閘極結構的一側壁上;半導體層,垂直地在閘極間隔件之下,其中些半導體層中的每一者垂直地在一對相鄰的些奈米片之間,並且其中背側通孔包含沿著第一方向與些半導體層對準的一第三部分;以及第一、第二、及第三介電間隔件,橫向地在些半導體層與背側通孔的第三部分之間。
在部分實施例中,其中閘極間隔件與些第一及第二介電間隔件的頂表面接觸,並且與第三介電間隔件的一側壁接觸。
在本揭示的一些實施例中,一種方法包括:在基板的前側上方交替地形成第一半導體層及第二半導體層;蝕刻第一半導體層、第二半導體層、及基板以形成溝槽;沿著溝槽的側壁形成第一介電間隔件;沿著第一介電間隔件的側壁形成第二介電間隔件;在形成第二介電間隔件之後,在溝槽中形成背側通孔;形成跨過第一及第二介電間隔件及背側通孔延伸的閘極結構;在形成閘極結構之後,移除未由閘極結構覆蓋的第二介電間隔件的一部分以形成第一凹陷;用第一導電材料填充第一凹陷以擴大背側通孔;在 擴大背側通孔之後,蝕刻未由閘極結構覆蓋的第一介電間隔件的一部分以形成第二凹陷;以及用第二導電材料填充第二凹陷。
在部分實施例中,進一步包含對基板的一背側執行一化學機械研磨製程直到暴露出背側通孔的一底表面;以及在背側通孔的底表面上形成一金屬線。
在部分實施例中,進一步包含在形成背側通孔之後,回蝕背側通孔;在背側通孔上方形成一第一介電帽,其中第一介電帽及第一介電間隔件由相同材料製成;以及在第一介電帽上方形成一第二介電帽,其中第二介電帽由與些第一及第二介電間隔件不同的一材料製成。
在部分實施例中,進一步包含在蝕刻第一介電間隔件的部分之前在第一導電材料上方形成一介電帽。
在部分實施例中,進一步包含在擴大背側通孔之後用一金屬閘極結構替換閘極結構。
在本揭示的一些實施例中,一種方法包括:在基板的前側上方形成交替的第一半導體層及第二半導體層的磊晶堆疊;在磊晶堆疊旁邊形成第一介電間隔件;在第一介電間隔件旁邊形成第二介電間隔件;在第二介電間隔件旁邊形成第三介電間隔件;鄰近第三介電間隔件形成犧牲介電層,其中第一、第二、第三介電間隔件,以及犧牲介電層由至少兩種、並且多達四種不同材料製成;在形成犧牲介電層之後,用源極/汲極磊晶結構替換磊晶堆疊的一部分;在用源極/汲極磊晶結構替換磊晶堆疊的此部分之後,對基 板的背側執行化學機械研磨製程,直到暴露出犧牲介電層的底表面;移除犧牲介電層以形成凹陷,從而暴露出源極/汲極磊晶結構的側壁;以及用背側通孔填充凹陷。
在部分實施例中,進一步包含在對基板的背側執行化學機械研磨製程之前,回蝕犧牲介電層以形成一第一凹陷;在第一凹陷中並且在犧牲介電層上方形成一第一介電帽,其中第一介電帽及第一介電間隔件由一第一材料製成;以及在第一凹陷中並且在第一介電帽上方形成一第二介電帽,其中第二介電帽及第三介電間隔件由一第二材料製成。
在部分實施例中,進一步包含在形成第二介電帽之後回蝕些第一及第二介電間隔件;移除些第二及第三介電間隔件、及第二介電帽以在犧牲介電層與第一介電間隔件之間形成一第二凹陷;以及利用一介電材料填充第二凹陷。
在部分實施例中,進一步包含在第二凹陷中並且在介電材料上方形成一第三介電帽,其中第三介電帽及第一介電間隔件由第一材料製成。
在部分實施例中,進一步包含在用背側通孔填充凹陷之前,在源極/汲極磊晶結構的暴露側壁上形成一矽化物層。
上文概述若干實施例的特徵,使得熟習此項技術者可更好地理解本揭示的態樣。熟習此項技術者應瞭解,可輕易使用本揭示作為設計或修改其他製程及結構的基礎, 以便執行本文所介紹的實施例的相同目的及/或實現相同優點。熟習此項技術者亦應認識到,此類等效構造並未脫離本揭示的精神及範疇,且可在不脫離本揭示的精神及範疇的情況下產生本文的各種變化、取代及更改。
100:基板
102:介電層
140:源極/汲極磊晶結構
145:矽化物層
150:背側通孔
150A:第一部分
150B:第二部分
160:第一介電間隔件
160H-1:水平部分
160V-1:垂直部分
170:層間介電層
172:下部層間介電層
174:上部層間介電層
175:源極/汲極觸點
180:背側介電層
190:背側金屬線
IC1:積體電路
W1:寬度

Claims (10)

  1. 一種半導體元件,包含:一基板;一閘極結構,沿著與該基板的一前側表面平行的一第一方向延伸;源極/汲極結構,分別在該閘極結構的相對側面上;一背側通孔,沿著與該基板的該前側表面平行但垂直於該第一方向的一第二方向延伸,該背側通孔具有沿著該第一方向與該些源極/汲極結構中的一者對準的一第一部分以及沿著該第一方向與該閘極結構對準的一第二部分,該背側通孔的該第一部分具有沿著該第一方向的一第一寬度,並且該背側通孔的該第二部分具有沿著該第一方向的一第二寬度,其中該第一寬度大於該第二寬度;以及一電力軌,在該基板的一背側表面上並且與該背側通孔接觸。
  2. 如請求項1所述的半導體元件,進一步包含在該閘極結構之下的一介電層,其中該背側通孔的該第一部分與該介電層的一側表面接觸。
  3. 如請求項1所述的半導體元件,進一步包含一第一介電間隔件,其中該第一介電間隔件具有與該背側通孔的該第一部分的一頂表面接觸的一水平部分及與該背側通孔的該第一部分的一第一側壁接觸的一垂直部分。
  4. 如請求項1所述的半導體元件,進一步包含:一第一介電間隔件,在該背側通孔的該第二部分的一頂表面上;以及一第二介電間隔件,在該背側通孔的一側壁上且在該第一介電間隔件的一側壁上,其中該第二介電間隔件橫向地在該閘極結構與該背側通孔之間。
  5. 如請求項1所述的半導體元件,進一步包含:奈米片,以一間隔開的方式在彼此之上佈置,此等奈米片中的每一者藉由該閘極結構圍繞;一閘極間隔件,在該些奈米片之上並且在該閘極結構的一側壁上;半導體層,垂直地在該閘極間隔件之下,其中該些半導體層中的每一者垂直地在一對相鄰的該些奈米片之間,並且其中該背側通孔包含沿著該第一方向與該些半導體層對準的一第三部分;以及第一、第二、及第三介電間隔件,橫向地在該些半導體層與該背側通孔的該第三部分之間。
  6. 一種半導體元件的形成方法,包含:在一基板的一前側上方交替地形成第一半導體層及第二半導體層;蝕刻該些第一半導體層、該些第二半導體層、及該基板 以形成一溝槽;沿著該溝槽的一側壁形成一第一介電間隔件;沿著該第一介電間隔件的一側壁形成一第二介電間隔件;在形成該第二介電間隔件之後,在該溝槽中形成一背側通孔;形成跨過該些第一及第二介電間隔件及該背側通孔延伸的一閘極結構;在形成該閘極結構之後,移除未由該閘極結構覆蓋的該第二介電間隔件的一部分以形成一第一凹陷;用一第一導電材料填充該第一凹陷以擴大該背側通孔;在擴大該背側通孔之後,蝕刻未由該閘極結構覆蓋的該第一介電間隔件的一部分以形成一第二凹陷;以及用一第二導電材料填充該第二凹陷。
  7. 如請求項6所述的方法,進一步包含:在形成該背側通孔之後,回蝕該背側通孔;在該背側通孔上方形成一第一介電帽,其中該第一介電帽及該第一介電間隔件由該相同材料製成;以及在該第一介電帽上方形成一第二介電帽,其中該第二介電帽由與該些第一及第二介電間隔件不同的一材料製成。
  8. 如請求項6所述的方法,進一步包含在蝕刻該第一介電間隔件的該部分之前在該第一導電材料上方形 成一介電帽。
  9. 一種半導體元件的形成方法,包含:在一基板的一前側上方形成交替的第一半導體層及第二半導體層的一磊晶堆疊;在該磊晶堆疊旁邊形成一第一介電間隔件;在該第一介電間隔件旁邊形成一第二介電間隔件;在該第二介電間隔件旁邊形成一第三介電間隔件;鄰近該第三介電間隔件形成一犧牲介電層,其中該些第一、第二、第三介電間隔件、該犧牲介電層包含至少兩種不同材料;在形成該犧牲介電層之後,用一源極/汲極磊晶結構替換該磊晶堆疊的一部分;在用該源極/汲極磊晶結構替換該磊晶堆疊的該部分之後,對該基板的一背側執行一化學機械研磨製程直到暴露出該犧牲介電層的一底表面;移除該犧牲介電層以形成一凹陷,從而暴露出該源極/汲極磊晶結構的一側壁;以及用一背側通孔填充該凹陷。
  10. 如請求項9所述的方法,進一步包含:在對該基板的該背側執行該化學機械研磨製程之前,回蝕該犧牲介電層以形成一第一凹陷;在該第一凹陷中並且在該犧牲介電層上方形成一第一介 電帽,其中該第一介電帽及該第一介電間隔件由一第一材料製成;以及在該第一凹陷中並且在該第一介電帽上方形成一第二介電帽,其中該第二介電帽及該第三介電間隔件由一第二材料製成。
TW110115778A 2020-04-30 2021-04-30 半導體元件及其形成方法 TWI758174B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202063018277P 2020-04-30 2020-04-30
US63/018,277 2020-04-30
US17/167,646 US11676896B2 (en) 2020-04-30 2021-02-04 Integrated circuit and method for forming the same
US17/167,646 2021-02-04

Publications (2)

Publication Number Publication Date
TW202143492A TW202143492A (zh) 2021-11-16
TWI758174B true TWI758174B (zh) 2022-03-11

Family

ID=78243110

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110115778A TWI758174B (zh) 2020-04-30 2021-04-30 半導體元件及其形成方法

Country Status (4)

Country Link
US (1) US12009304B2 (zh)
CN (1) CN113594156B (zh)
DE (1) DE102021103469A1 (zh)
TW (1) TWI758174B (zh)

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20180108775A1 (en) * 2016-10-13 2018-04-19 Taiwan Semiconductor Manufacturing Co., Ltd. Structure of semiconductor device with source/drain structures
US20180254220A1 (en) * 2017-03-01 2018-09-06 International Business Machines Corporation Trench gate first cmos

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5487625B2 (ja) 2009-01-22 2014-05-07 ソニー株式会社 半導体装置
WO2018004653A1 (en) 2016-07-01 2018-01-04 Intel Corporation Backside contact resistance reduction for semiconductor devices with metallization on both sides
US10651171B2 (en) * 2016-12-15 2020-05-12 Taiwan Semiconductor Manufacturing Co. Ltd. Integrated circuit with a gate structure and method making the same
US10586765B2 (en) 2017-06-22 2020-03-10 Tokyo Electron Limited Buried power rails
KR101960598B1 (ko) 2017-07-12 2019-03-20 서울대학교병원 3d 프린팅 인공기관 지지체 및 이의 제조방법
US10700207B2 (en) * 2017-11-30 2020-06-30 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device integrating backside power grid and related integrated circuit and fabrication method
US11195796B2 (en) * 2018-05-08 2021-12-07 Mediatek Inc. Semiconductor device structure and method for forming the same
US10529860B2 (en) * 2018-05-31 2020-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and method for FinFET device with contact over dielectric gate
US10861750B2 (en) * 2018-07-02 2020-12-08 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US10998238B2 (en) 2018-10-31 2021-05-04 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuits with buried interconnect conductors
US11676896B2 (en) * 2020-04-30 2023-06-13 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit and method for forming the same

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20180108775A1 (en) * 2016-10-13 2018-04-19 Taiwan Semiconductor Manufacturing Co., Ltd. Structure of semiconductor device with source/drain structures
US20180254220A1 (en) * 2017-03-01 2018-09-06 International Business Machines Corporation Trench gate first cmos

Also Published As

Publication number Publication date
CN113594156B (zh) 2024-03-08
DE102021103469A1 (de) 2021-11-04
TW202143492A (zh) 2021-11-16
US12009304B2 (en) 2024-06-11
CN113594156A (zh) 2021-11-02
US20230326856A1 (en) 2023-10-12

Similar Documents

Publication Publication Date Title
US20230030571A1 (en) Semiconductor device and manufacturing method thereof
TW201620135A (zh) 鰭式場效電晶體裝置結構及其形成方法
TWI792483B (zh) 半導體結構及其形成方法
TW201926699A (zh) 半導體元件
KR102549861B1 (ko) 반도체 디바이스의 콘택 플러그 구조물 및 그 형성 방법
US20220336449A1 (en) Semiconductor device structure and methods of forming the same
US11450751B2 (en) Integrated circuit structure with backside via rail
KR20180069706A (ko) 핀형 전계 효과 트랜지스터를 위한 소스 및 드레인 형성 기법
TW202201710A (zh) 靜電放電裝置及半導體裝置的製造方法
TW202013471A (zh) 形成半導體裝置的方法
KR102549268B1 (ko) 집적 회로 및 그 형성 방법
TWI817312B (zh) 半導體結構及其形成方法
TWI764541B (zh) 半導體元件及其形成方法
TWI758174B (zh) 半導體元件及其形成方法
US20220285512A1 (en) Semiconductor Device With Gate Isolation Features And Fabrication Method Of The Same
US11437469B2 (en) Reducing parasitic capacitance in semiconductor devices
TWI765292B (zh) 半導體裝置及其形成方法
TW201911376A (zh) 接觸插塞之形成方法
TW202217976A (zh) 半導體裝置、其形成方法及半導體結構
TW202129772A (zh) 半導體結構之製造方法
US11942479B2 (en) Semiconductor device and manufacturing method thereof
TWI840704B (zh) 半導體裝置結構及其形成方法
CN113053853B (zh) 半导体器件和制造半导体器件的方法
US20220376044A1 (en) Reducing Parasitic Capacitance in Semiconductor Devices
US20240072136A1 (en) Semiconductor structure and method for manufacturing the same