TWI734228B - 積體電路裝置及其製造方法 - Google Patents

積體電路裝置及其製造方法 Download PDF

Info

Publication number
TWI734228B
TWI734228B TW108138354A TW108138354A TWI734228B TW I734228 B TWI734228 B TW I734228B TW 108138354 A TW108138354 A TW 108138354A TW 108138354 A TW108138354 A TW 108138354A TW I734228 B TWI734228 B TW I734228B
Authority
TW
Taiwan
Prior art keywords
source
drain
effect transistor
type field
layer
Prior art date
Application number
TW108138354A
Other languages
English (en)
Other versions
TW202038382A (zh
Inventor
時定康
王菘豊
蔡邦彥
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202038382A publication Critical patent/TW202038382A/zh
Application granted granted Critical
Publication of TWI734228B publication Critical patent/TWI734228B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02381Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • H01L21/02576N-type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • H01L21/02579P-type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76202Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using a local oxidation of silicon, e.g. LOCOS, SWAMI, SILO
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66606Lateral single gate silicon transistors with final source and drain contacts formation strictly before final or dummy gate formation, e.g. contact first technology
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7831Field effect transistors with field effect produced by an insulated gate with multiple gate structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823871Complementary field-effect transistors, e.g. CMOS interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

本發明實施例提供具有介於源極∕汲極部件與接觸件間的介面之積體電路的範例,以及積體電路的形成方法的範例。在一些範例中,接收基板,其具有源極∕汲極部件設置於其上。源極∕汲極部件包括第一半導體元素與第二半導體元素。氧化源極∕汲極部件的第一半導體元素以於源極∕汲極部件上產生第一半導體元素的氧化物,以及源極∕汲極部件的區域,此區域比起源極∕汲極部件的剩餘部分具有較高濃度的第二半導體元素。移除第一半導體元素的氧化物,並形成接觸件電性耦接至源極∕汲極部件。在此些實施例中,第一半導體元素包括矽而第二半導體元素包括鍺。

Description

積體電路裝置及其製造方法
本發明實施例是關於一種積體電路裝置的製造方法及積體電路裝置,特別是關於具有p型與n型場效電晶體的積體電路裝置的製造方法及積體電路裝置。
半導體積體電路產業歷經了快速的成長。積體電路演進期間,功能密度(亦即,單位晶片面積的互連裝置數目)通常會增加而幾何尺寸(亦即,可使用製程生產的最小元件(或線))卻減少。此微縮化的過程通常會以增加生產效率與降低相關成本而提供助益。然而,每次重複尺寸縮小會對設計與製程帶來更大的挑戰。透過這些領域的進步,越趨複雜的設計得以精確且可靠的方式所製造。
隨著技術進展,寄生效應(parasitic effect)變得更為重要,例如不合意的電阻與電容。這些寄生效應隨著各世代的改良可能具有更大的影響,因為新技術形成了彼此更為靠近的較小裝置並以較低的電壓運作。作為範例,不合意的電阻可發生於導電部件間的介面或是導電部件與電路部件如閘極、源極或汲極間的介面。此介面的電阻可歸因於介面的品質以及在介面的材料組 成,且電阻可隨著介面尺寸的減少而增加。
本發明實施例提供一種積體電路的形成方法,包括接收基板,其具有源極/汲極部件設置於其上。源極/汲極部件包括第一半導體元素及第二半導體元素。氧化源極/汲極部件的第一半導體元素以產生氧化層,其包括源極/汲極部件上的第一半導體元素,以及源極/汲極部件的區域,區域比起源極/汲極部件的剩餘部分具有較高濃度的第二半導體元素。
本發明實施例提供一種積體電路的形成方法,包括接收基板,其具有n型場效電晶體區及p型場效電晶體區,n型場效電晶體區具有n型場效電晶體源極/汲極部件而p型場效電晶體區具有p型場效電晶體源極/汲極部件。p型場效電晶體源極/汲極部件包括第一半導體材料及第二半導體材料。於n型場效電晶體源極/汲極部件與p型場效電晶體源極/汲極部件上進行氧化製程,以於n型場效電晶體源極/汲極部件與p型場效電晶體源極/汲極部件上形成氧化層。氧化製程更形成p型場效電晶體源極/汲極部件的區域,區域比起p型場效電晶體源極/汲極部件的剩餘部分具有較高濃度的第二半導體材料。從n型場效電晶體源極/汲極部件及p型場效電晶體源極/汲極部件移除氧化層。形成第一接觸件電性耦接至n型場效電晶體源極/汲極部件,且形成第二接觸件電性耦接至p型場效電晶體源極/汲極部件。
本發明實施例提供一種積體電路裝置,包括:基板,具有鰭片,從基板的剩餘部分延伸出;源極/汲極部件,設置於鰭片上;以及接觸件,耦接至源極/汲極部件的頂部部分。源極/汲極部件包括SiGe半導體,且源極/汲極部件 的頂部部分具有與源極/汲極部件的底部部分不同的鍺濃度。
100,900:方法
102,104,106,108,110,112,114,116,902,904,906,908,910,912,914,916,918,920,922,924,926,928,930,932,934:方框
200,1000:工件
202:基板
204:n型場效電晶體區
206:p型場效電晶體區
208:鰭片
210,212,1302,1404:源極/汲極部件
214:通道區
216,1002:閘極堆疊
218:介面層
220:閘極介電質
222:蓋層
224:功函數層
226:電極填充件
228:閘極蓋
230:側壁間隔物
232:接觸蝕刻停止層
234,236:層間介電層
302,2002:溝槽
304,1202,1402:光阻
402,1502:SiOx
404,1504:富鍺層
602,2202:矽化層
604,2204:鍺化層
606,2206:氮化鍺化蓋層
702:接觸件
1102:凹口
1602:第一層間介電層
1802:功能閘極堆疊
1902:第二層間介電層
以下將配合所附圖式詳述本發明實施例。應注意的是,依據在業界的標準做法,各種特徵並未按照比例繪製且僅用以說明例示。事實上,可任意地放大或縮小元件的尺寸,以清楚地表現出本發明實施例的特徵。
第1圖是根據本發明的各種面向,繪示出具有源極/汲極介面的工件的製造方法之流程圖。
第2至8圖是根據本發明的各種面向,沿著鰭片長度方向繪示出工件在製造方法中各個階段的剖面圖。
第9A至9B圖是根據本發明的各種面向,繪示出具有源極/汲極介面的工件的製造方法之流程圖。
第10至22圖是根據本發明的各種面向,沿著鰭片長度方向繪示出工件在製造方法中各個階段的剖面圖。
以下揭露提供了許多的實施例或範例,用於實施所提供的標的物之不同部件。各部件和其配置的具體範例描述如下,以簡化本發明實施例之說明。當然,這些僅僅是範例,並非用以限定本發明實施例。舉例而言,敘述中若提及第一部件形成在第二部件之上,可能包含第一和第二部件直接接觸的實施例,也可能包含額外的部件形成在第一和第二部件之間,使得它們不直接接觸的實施例。再者,本發明實施例中若提及形成部件連接至以及/或耦接至另一 部件,可能包含形成部件使其直接接觸的實施例,也可能包含形成額外的部件插入於部件之間,使得部件部直接接觸的實施例。
再者,其中可能用到與空間相對用詞,例如「下方的」、「上方的」、「水平的」、「垂直的」、「在......之上」、「上方」、「在......之下」、「下方」、「上」、「下」、「頂部的」、「底部的」等類似用詞,是為了便於描述圖式中一個(些)部件或特徵與另一個(些)部件或特徵之間的關係。空間相對用詞用以包括使用中或操作中的裝置之不同方位,以及圖式中所描述的方位。當裝置被轉向不同方位時(旋轉90度或其他方位),其中所使用的空間相對形容詞也將依轉向後的方位來解釋。此外,本發明實施例可能在各種範例中重複參考數值以及/或字母。如此重複是為了簡明和清楚之目的,而非用以表示所討論的各種實施例及/或配置之間的關係。
積體電路設計與製造的進步為電路的速度與效率帶來了許多改良。然而,儘管擁有新的結構與新的製造技術,電晶體與其他電路元件仍經歷嚴重的損耗及效率不佳等問題。事實上,當裝置縮小時,由於較小的導體具有較高的阻值、較薄的介電質具有較少的絕緣性以及/或其他因素,而增加一些寄生效應。這些寄生效應可能浪費能源、產生過多的熱、減少最大操作頻率以及/或增加最小操作電壓。在最極端的情況中,可能會導致裝置過早失效的問題。
寄生電阻其中一個特定來源是電路裝置的源極/汲極部件間的介面以及電性耦接至源極/汲極部件間的接觸件(contact),電路裝置如場效電晶體(field effect transistor,FET)以及/或鰭狀場效電晶體(FinFET)。在介面的電阻對於包含矽鍺合金半導體的源極/汲極部件可能特別高。本發明實施例提供形成積體電路裝置的技術,其包括於源極/汲極部件上進行氧化製程以於源極/汲極 部件的頂部形成製造富鍺層(germanium-rich layer)。富鍺層接近接觸件的耦接處,且被判定可降低在與接觸件的介面之電阻。
再者,在一些範例中,此技術於一些裝置的含矽鍺源極/汲極部件中選擇性形成富鍺層,而不會對相同工件上其他裝置的含矽源極/汲極部件帶來不好的影響。如此可避免各自的遮蔽(masking)步驟用以保護其他裝置。此外,在一些範例中,此技術選擇性形成富鍺層,而不需要額外的磊晶沉積(epitaxial deposition)以及/或佈植(implantation)製程。磊晶與佈植可能會增加製造成本並提供熱預算(thermal budget),且兩種型態的製程可能會對周遭結構帶來損傷。因此,盡可能地避免額外的磊晶沉積與佈植製程是有助益的。然而,這些效益僅是範例,除非額外指明,不需要實施例提供任何特定優點。
參照第1至8圖,描述具有鍺化(germanide)源極/汲極介面的積體電路及其形成方法之範例。就此而言,第1圖是根據本發明實施例的各種面向,繪示出具有源極/汲極介面的工件的製造方法100之流程圖。可於方法100之前、期間與之後提供額外的步驟,且所述的一些步驟在方法100的其他實施例可被取代或刪除。
第2至8圖是根據本發明實施例的各種面向,沿著鰭片長度方向繪示出工件200在製造方法100中各個階段的剖面圖。為了簡明的目的以及較能夠描述本發明實施例的概念而簡化第2至8圖。額外的部件可納入工件200,且下述的一些部件在工件200的其他實施例可被取代或刪除。
參照第1圖的方框102與第2圖,接收工件200。工件200包括基板202,其上將形成裝置。在各種範例中,基板202包括:元素(單一元素)半導體,如結晶結構的矽或鍺;化合物半導體,如碳化矽、砷化鎵、磷化鎵、磷化 銦、砷化銦以及/或銻化銦;合金半導體,如SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP以及/或GaInAsP;非半導體材料,如鈉鈣玻璃(soda-lime glass)、熔矽石(fused silica)、熔融石英(fused quartz)以及/或氟化鈣(CaF2);以及/或前述之組合。
基板202可為一致的組成或可包括各種膜層,其中一些膜層可被選擇性蝕刻以形成鰭片。膜層可具有相似或不同的組成,且在各種實施例中,一些基板層具有非一致的組成以引起裝置應變而因此調諧裝置性能。層狀基板的範例也包括絕緣體上覆矽(silicon-on insulator,SOI)基板202。在此些範例中,絕緣體上覆矽基板202的絕緣層包括半導體氧化物、半導體氮化物、半導體氮氧化物、半導體碳化物以及/或其他合適的絕緣材料。
摻雜區如井區(well)可形成於基板202上,且基板202的一些區域可利用p型摻質摻雜,p型摻質如硼、BF2或銦,而基板202的其他區域可利用n型摻質摻雜,n型摻質如磷或砷以及/或包括前述之組合的其他合適的摻質。基板202特定區域的摻雜可取決於其上將形成的裝置。在一範例中,基板202包括形成n型通道(n型場效電晶體,nFET)裝置的n型場效電晶體區204,以及形成p型通道(p型場效電晶體,pFET)裝置的p型場效電晶體區206。
在一些範例中,將形成於基板202上的裝置延伸出基板202之外。例如,鰭狀場效電晶體以及/或其他非平面裝置可形成在設置於基板202上的裝置鰭片208上。裝置鰭片208為任何抬升(raised)部件的代表,且包括鰭狀場效電晶體裝置鰭片208以及形成於基板202之上的其他抬升的主動與被動元件之鰭片208。可藉由蝕刻部分的基板202、於基板202上沉積各種膜層、蝕刻膜層以及/或其他合適的技術形成鰭片208。例如,可利用一或多種光學微影製程 (photolithography process)圖案化鰭片208,光學微影製程包括雙重圖案化或多重圖案化製程。一般而言,雙重圖案化或多重圖案化製程結合光學微影與自對準(self-aligned)製程,產生具有如節距(pitch)小於使用單一、直接的光學微影製程可獲得的間距之圖案。例如,在一實施例中,犧牲層形成於基板之上並利用光學微影製程圖案化。利用自對準製程沿著圖案化的犧牲層形成間隔物(spacer)。接著移除犧牲層,且剩餘的間隔物接著可用以圖案化鰭片。
鰭片208與基板202可為相似的組成,或與基板202可為不同的組成。例如,在一些實施例中,基板202主要包括矽,而鰭片208包括一或多個膜層,其主要為鍺或SiGe半導體。在一些實施例中,基板202包括SiGe半導體,且鰭片208包括一或多個膜層,其包括不同矽鍺比例的SiGe半導體。
每個裝置鰭片208可包括任何數量的積體電路如鰭狀場效電晶體,隨後各包括一對相對的源極/汲極部件(例如,n型場效電晶體源極/汲極部件210與p型場效電晶體源極/汲極部件212)形成於鰭片208上,並以通道區214隔離。鰭狀場效電晶體的源極/汲極部件210與212可包括磊晶生成的半導體及一或多種摻質。半導體與摻質兩者在n型場效電晶體區204的n型場效電晶體源極/汲極部件210與p型場效電晶體區206的p型場效電晶體源極/汲極部件212間可不同。在一些範例中,n型場效電晶體源極/汲極部件210包括元素半導體(如矽)與n型摻質(如磷以及/或砷)。相反地,例示性p型場效電晶體源極/汲極部件212包括合金半導體(如SiGe)與p型摻質(如硼、BF2以及/或銦)。因此,在各種這樣的範例中,n型場效電晶體源極/汲極部件210包括SiP、SiCP以及/或SiAs,且p型場效電晶體源極/汲極部件212包括SiGeB以及/或SiGeIn,其比起Si具有相對高濃度的Ge(例如,排除摻質,Ge濃度大於約50的原子百分比)。
藉由施加至鄰近於且包覆通道區214的閘極堆疊216之電壓,控制載子(carrier,n型通道鰭狀場效電晶體為電子,而p型通道鰭狀場效電晶體為電洞(hole))從源極至汲極的流動。抬升的通道區214與相當的平面裝置相比為緊鄰的閘極堆疊216提供較大的表面積,加強閘極堆疊216與通道區214間的電磁場交互作用,因而可減少較小裝置的漏電及短通道效應。因此,在許多實施例中,鰭狀場效電晶體與其他非平面裝置在較小平面面積(footprint)與其對應的平面裝置相比帶來了較好的性能。
例示性閘極堆疊216可包括介面層218,設置於通道區214的頂部與側表面上。介面層218可包括介面材料如半導體氧化物、半導體氮化物、半導體氮氧化物、其他半導體介電質、其他合適的介面材料以及/或前述之組合。介面層218可包括閘極介電質220,設置於介面層218上。閘極介電質220也可沿著閘極堆疊216的側邊垂直延伸。閘極介電質220可包括一或多種介電材料,通常以其相對於二氧化矽的介電常數來區分。在一些實施例中,閘極介電質220包括高介電常數介電材料如HfO2、HfSiO、HfSiON、HfTaO、HfTiO、HfZrO、氧化鋯、氧化鋁、二氧化鉿-氧化鋁(HfO2-Al2O3)合金、其他合適的高介電常數介電材料以及/或前述之組合。此外又或者,閘極介電質220可包括其他介電質如半導體氧化物、半導體氮化物、半導體氮氧化物、半導體碳化物、非晶碳(amorphous carbon)、四乙氧基矽烷(tetraethoxysilane,TEOS)、其他合適的介電材料以及/或前述之組合。閘極介電質220可形成至任何合適的厚度,且在一些範例中,閘極介電質220具有約0.1nm至約3nm間的厚度。
閘極電極設置於閘極介電質220上。閘極電極可包括一些不同的導電層,其中繪示出三層例示性膜層(蓋層(capping layer)220、功函數層(work function layer)224與電極填充件(fill)226)。關於蓋層222,其可包括任何合適的導電材料,包括金屬(例如,W、Al、Ta、Ti、Ni、Cu、Co等)、金屬氮化物以及/或金屬矽氮化物。在各種實施例中,蓋層222包括TaSiN、TaN以及/或TiN。
閘極電極可包括蓋層222上的一或多層功函數層224。取決於裝置的型態,功函數層224合適的材料包括n型以及/或p型功函數材料。例示性p型功函數金屬包括TiN、TaN、Ru、Mo、Al、WN、ZrSi2、MoSi2、TaSi2、NiSi2、其他合適的p型功函數材料以及/或前述之組合。例示性n型功函數金屬包括Ti、Ag、TaAl、TaAlC、TiAlN、TaC、TaCN、TaSiN、Mn、Zr、其他合適的n型功函數材料以及/或前述之組合。
閘極電極也可包括功函數層224上的電極填充件226。電極填充件226可包括任何合適的材料,包括金屬(例如,W、Al、Ta、Ti、Ni、Cu、Co等)、金屬氧化物、金屬氮化物以及/或前述之組合,且在一範例中,電極填充件226包括鎢。
在一些範例中,閘極堆疊216包括閘極蓋(gate cap)228,位於閘極介電質220、蓋層222、功函數層224以及/或電極填充件226的頂部上。閘極蓋228可包括任何合適的材料,例如:介電材料(如半導體氧化物、半導體氮化物、半導體氮氧化物、半導體碳化物、半導體碳氮氧化物等)、多晶矽(polysilicon)、旋轉塗佈玻璃(spin-on glass,SOG)、四乙氧基矽烷、電漿增強化學氣相沉積的氧化物(plasma-enhanced oxide,PE oxide)、高深寬比製程(high aspectratio process,HARP)所形成的氧化物以及/或其他合適的材料。在一些範例中,閘極蓋228包括碳氮氧化矽。在一些範例中,閘極蓋228具有約1nm至約10nm間的厚度。
側壁間隔物230設置於閘極堆疊216的側表面上。側壁間隔物230可用以偏置(offset)源極/汲極部件210與212,並控制源極/汲極接合輪廓(junction profile)。在各種範例中,側壁間隔物230包括一或多層合適的材料如介電材料(例如,半導體氧化物、半導體氮化物、半導體氮氧化物、半導體碳化物、半導體碳氮氧化物等)、旋轉塗佈玻璃、四乙氧基矽烷、電漿增強化學氣相沉積的氧化物、高深寬比製程所形成的氧化物以及/或其他合適的材料。在此一實施例中,側壁間隔物230各包括第一層的氧化矽、設置於第一層上之第二層的氮化矽以及設置於第二層上之第三層的氧化矽。在此實施例中,各層側壁間隔物230具有約1nm至約10nm間的厚度。
工件200也可包括接觸蝕刻停止層(contact etch stoplayer,CESL)232,沿著側壁間隔物230設置於源極/汲極部件210與212上。接觸蝕刻停止層232可包括介電質(例如,半導體氧化物、半導體氮化物、半導體氮氧化物、半導體碳化物等)以及/或其他合適的材料,且在各種實施例中,接觸蝕刻停止層232包括SiN、SiO、SiON以及/或SiC。在一些範例中,接觸蝕刻停止層232具有約1nm至約50nm間的厚度。
一或多層層間介電(inter-level dielectric,ILD)層(如膜層234與236)設置於工件200的源極/汲極部件210與212及閘極堆疊216上。層間介電層234與236作為絕緣體,支撐並隔離電性多層內連接(interconnect)結構的導線(conductive trace)。接著,多層內連接結構電性內連接工件200的元件如源極/汲極部件210與212及閘極堆疊216。層間介電層234與236可包括介電材料(例如,半導體氧化物、半導體氮化物、半導體氮氧化物、半導體碳化物等)、旋轉塗佈玻璃、摻氟矽酸鹽玻璃(fluoride-doped silicate glass,FSG)、磷矽酸鹽玻 璃(phosphosilicate glass,PSG)、硼磷矽酸鹽玻璃(borophosphosilicate glass,BPSG)、Black Diamond®、乾凝膠(xerogel)、氣凝膠(aerogel)、非晶氟碳(fluorinated carbon)、聚對二甲苯(parylene)、苯並環丁烯(benzocyclobutene,BCB)、SiLK®以及/或前述之組合。
參照第1圖的方框104以及第3圖,用於源極/汲極接觸件的溝槽(trench)302形成於層間介電層234與236中。溝槽302露出源極/汲極部件210與212將形成內連接的導電部件之位置。在此些範例中,方框104包括於工件200上形成光阻304,並於光學微影製程中圖案化光阻304以選擇性露出部分的層間介電層234與236而進行蝕刻。
在一實施例中,光學微影系統以遮罩所決定的特定圖案將光阻304曝露至輻射。通過遮罩或反射在遮罩上的光線衝擊光阻304,因此將形成於遮罩上的圖案轉移至光阻304。在其他這樣的實施例中,利用直接寫入(direct write)或無遮罩微影技術如雷射圖案化、電子束(electron beam,e-beam)圖案化以及/或離子束圖案化曝光光阻304。一旦曝光後,顯影光阻304以留下光阻曝光的部分,或在替代的範例中,留下光阻未曝光的部分。例示性圖案化製程包括光阻304的軟烘烤(soft baking)、遮罩對準、曝光、曝光後烘烤、顯影光阻304、潤洗(rinsing)及乾燥(如硬烘烤(hard baking))。
接著,利用任何合適的蝕刻技術蝕刻光阻304露出之部分的層間介電層234與236,合適的蝕刻技術如濕式蝕刻、乾式蝕刻、反應離子蝕刻(reactive ion etching,RIE)、灰化(ashing)以及/或其他蝕刻方法。在一些實施例中,蝕刻製程包括乾式蝕刻,使用氧基(oxygen-based)蝕刻劑、氟基(fluorine-based)蝕刻劑(例如,CF4、SF6、CH2F2、CHF3以及/或C2F6)、氯基(chlorine-based) 蝕刻劑(例如,Cl2、CHCl3、CCl4以及/或BCl3)、溴基(bromine-based)蝕刻劑(例如,HBr以及/或CHBr3)、碘基(iodine-based)蝕刻劑、其他合適的蝕刻氣體或電漿以及/或前述之組合。
在蝕刻溝槽302後,可移除任何剩餘的光阻304。
溝槽302可移除源極/汲極部件210與212之上的一些或所有層間介電層234與接觸蝕刻停止層232,並可露出部分或全部的源極/汲極部件210與212之頂表面。參照第1圖的方框106與第4圖,於工件200上進行控制氧化(controlled oxidation)製程以氧化源極/汲極部件210與212露出的表面。對於包括主要為矽之半導體的這些源極/汲極部件210,氧化製程可於源極/汲極部件210的表面上產生一層SiOx402。由於矽比起鍺較易氧化,氧化製程可從矽鍺半導體將矽引出以在表面形成主要為氧化矽的一膜層。在此範例及其他範例中,方框106的氧化製程於SiGe源極/汲極部件212的表面上產生一層SiOx402因為此製程實質上是用以避免源極/汲極部件212中的Ge被氧化。
由於矽從一部分的源極/汲極部件212被引出,氧化製程可形成富鍺層(Ge-rich layer)404,其包含SiOx層402下剩餘的鍺。相較於源極/汲極部件212的剩餘部分,富鍺層404相對矽具有較高濃度的鍺。在各種範例中,除了摻質,富鍺層404包含約10原子百分比至約100原子百分比的Ge。在此些範例中,富鍺層404僅包含Ge與摻質。可形成SiOx層402與富鍺層404使其具有任何合適的厚度,且在各種範例中,各具有約1nm至約10nm的厚度。SiOx層402可實質上為順應的(conformal),並沿著源極/汲極部件210與212的頂部之輪廓(contour)。
氧化製程可包括任何合適的氧化技術,包括乾式(O2)以及/或濕式(H2O)氧化技術。在一些範例中,氧化製程包括在約0.1Torr至約8x105Torr 的壓力下加熱工件200至約250℃到500℃間的溫度,並導入氧原子源如O2或H2O。氧化製程的溫度上限可被閘極堆疊216的材料所侷限。可以任何合適的持續時間進行氧化製程,且在各種範例中,進行約10分鐘至約24小時之間。如此一來,此技術於p型場效電晶體206的p型場效電晶體源極/汲極部件212中可靠地形成單晶富鍺層404,而不需要額外的磊晶或佈植製程。
參照第1圖的方框108與第5圖,於預清洗(precleaning)製程中移除SiOx層402。控制預清洗製程以避免顯著地移除p型場效電晶體源極/汲極部件212的富鍺層404。預清洗製程可包括乾式清洗製程、濕式清洗製程、反應離子蝕刻以及/或其他合適的清洗方法。例如,在一些實施例中,預清洗製程包括電漿輔助乾式蝕刻製程,使用NH3、NF3、HF以及/或H2。在一些實施例中,預清洗製程包括濕式清洗製程,使用稀釋氫氟酸(diluted hydrofluoric acid,DHF)溶液。為了避免於源極/汲極部件210與212的表面上再形成SiOx,可在與後續矽化(silicidation)製程相同的腔室(chamber)中進行預清洗製程。
參照第1圖的方框110與第6圖,於工件200上進行矽化物/鍺化物形成製程。矽化/鍺化製程導入金屬或其他導電材料至源極/汲極部件210與212之中。詳細而論,製程可於n型場效電晶體區204中的含矽源極/汲極部件210中形成矽化層602,以及於p型場效電晶體區206中的源極/汲極部件212的富鍺層404中形成鍺化層604。
矽化/鍺化製程可包括於工件200上沉積金屬或其他導體。合適的導體包括Ti、Er、Y、Yb、Eu、Tb、Lu、Th、Sc、Hf、Zr、Ta、Ni、Co、Pt、W、Ru以及/或其他合適的導體。可利用化學氣相沉積、原子層沉積(atomic layer deposition,ALD)、電漿增強化學氣相沉積、電漿增強原子層沉積、物理氣相沉 積(physical vapor deposition,PVD)以及/或其他合適的技術沉積導體。
在一些範例中,矽化/鍺化製程包括一或多種氮化(nitridization)製程,以為氮化蓋層(nitridized cap layer)提供氮原子源。氮化製程產生阻障(barrier)防止下方材料在沉積後續接觸件的材料之前不經意被周遭氧氣所氧化。氮化製程可在用以沉積導體的相同機台以及/或機台的相同腔室中進行。在各種範例中,在約20℃至約120℃間的製程溫度下,以約20sccm至約200sccm間的注入流速供應含氮氣體如N2以及/或NH3約1分鐘至約30分鐘之間。當電漿增強化學氣相沉積用於氮化製程時,電漿增強化學氣相沉積的電漿功率可為約100W至約1000W間。惰性氣體如氬氣或氦氣可用於點燃電漿(plasma ignition)。可選擇含氮氣體的流速、相對氣體濃度、持續時間、溫度、場功率(field power)與其他製程條件,以控制所製得的氮化蓋層的氮原子濃度,以下將更詳細地描述氮化蓋層。在各種範例中,氮化製程用以產生氮化蓋層,其具有約15至約40原子百分比間的氮原子濃度。
退火(anneal)工件200,使導體與源極/汲極部件210及212反應以形成矽化物以及/或鍺化物。退火也可導致在矽化物以及/或鍺化物上形成一或多層氮化蓋層。之後,可移除任何未反應的金屬。
如此一來,矽化物/鍺化物形成製程可於n型場效電晶體區204中的含矽源極/汲極部件210上形成矽化層602。矽化層602可具有任何合適的厚度,且在各種範例中,為約1nm至約10nm間的厚度。同樣地,此製程可於p型場效電晶體區206中的含矽鍺源極/汲極部件212上形成鍺化層604,且可於鍺化層604上形成氮化鍺化蓋層606。在各種範例中,氮化鍺化蓋層606具有約15至約40原子百分比間的氮原子濃度。
詳細而論,此製程可藉由消耗富鍺層404而形成鍺化層604與氮化鍺化蓋層606。在一些範例中,僅有約2nm或更少的富鍺層404保留。在一些範例中,富鍺層404被完全移除。所製得的鍺化層604與氮化鍺化蓋層606可具有任何合適的厚度。在各種範例中,鍺化層604具有約2nm至約5nm間的厚度,且氮化鍺化蓋層606具有約1nm至約3nm間的厚度。富鍺層404中的鍺原子濃度越高,本技術所產生的鍺化層604以及/或氮化鍺化蓋層606已經確定會與後續形成的接觸件形成較佳品質的介面,並降低接觸件的阻值。
參照第1圖的方框112與第7圖,源極/汲極接觸件702形成於溝槽302中,且耦接至源極/汲極部件210與212。詳細而論,接觸件702可物理性與電性耦接至n型場效電晶體源極/汲極部件210的矽化層602,以及p型場效電晶體源極/汲極部件212的鍺化層604以及/或氮化鍺化蓋層606。接觸件702可包括一或多層導電材料如金屬(例如,W、Al、Ta、Ti、Ni、Cu等)、金屬氧化物、金屬氮化物以及/或前述之組合。在一些範例中,接觸件702包括阻障層,其包括W、Ti、TiN、Ru以及/或前述之組合,且包括含銅填充材料,沉積於阻障層上。在一些範例中,接觸件702包括鎢,可在具有阻障層或不具有阻障層的情形下沉積接觸件。在一些範例中,接觸件702包括鈷的接觸件材料。可利用任何合適的技術包括物理氣相沉積(例如,濺鍍(sputtering))、化學氣相沉積、電漿增強化學氣相沉積、原子層沉積、電漿增強原子層沉積以及/或前述之組合沉積接觸件702的材料。
參照第1圖的方框114與第8圖,可進行平坦化(planarization)製程移除接觸件材料位於層間介電層236之上的部分。
參照第1圖的方框116,為進一步的製程提供工件200。在各種範 例中,進一步的製程包括形成電性內連接結構的剩餘部分、切割(dicing)、封裝以及其他製程步驟。
接觸溝槽開口後,上述範例進行方框106的氧化製程。在進一步的範例中,形成源極/汲極部件後,較早進行氧化製程。參照第9A至22圖而描述此些範例。第9A至9B圖是根據本發明實施例的各種面向,繪示出具有源極/汲極介面的工件的製造方法900之流程圖。可於方法900之前、期間與之後提供額外的步驟,且所述的一些步驟在方法900的其他實施例可被取代或刪除。
第10至22圖是根據本發明實施例的各種面向,沿著鰭片長度方向繪示出工件1000在製造方法900中各個階段的剖面圖。為了簡明的目的以及較能夠描述本發明實施例的概念而簡化第10至22圖。額外的部件可納入工件1000,且下述的一些部件在工件1000的其他實施例可被取代或刪除。
參照第9A圖的方框902與第10圖,接收工件1000。至少一些部分的工件1000如基板202、裝置鰭片208、通道區214與側壁間隔物230可實質上與上述相似。工件1000更可包括閘極堆疊1002,設置於鰭片208的通道區214上。在一些範例中,閘極堆疊1002為功能閘極結構。然而,當功能閘極結構的材料對製程步驟較為敏感且較難圖案化時,可在一些製程步驟中使用多晶矽、介電質以及/或其他抗性(resilient)材料的佔位(placeholder)閘極。在閘極後製(gate-last)製程中,稍後將移除佔位閘極,並以功能閘極的元件(例如,閘極電極、閘極介電層、介面層等)取代佔位閘極。在這些範例中,閘極堆疊1002代表佔位閘極。
為了在通道區214的相對側上形成源極/汲極部件,可蝕刻部分的鰭片208,且在所製得的凹口中磊晶生成源極/汲極部件。參照第9A圖的方框904 與第11圖,於工件1000上進行蝕刻製程以產生源極/汲極凹口1102。在一些範例中,方框904包括於工件1000上形成光阻,且於光學微影製程中圖案化光阻以只露出工件1000欲蝕刻的部分。
蝕刻製程本身可包括任何合適的蝕刻技術如濕式蝕刻、乾式蝕刻、反應離子蝕刻、灰化以及/或其他蝕刻方法。在一些實施例中,蝕刻製程包括乾式蝕刻,使用氧基蝕刻劑、氟基蝕刻劑(例如,CF4、SF6、CH2F2、CHF3以及/或C2F6)、氯基蝕刻劑(例如,Cl2、CHCl3、CCl4以及/或BCl3)、溴基蝕刻劑(例如,HBr以及/或CHBr3)、碘基蝕刻劑、其他合適的蝕刻氣體或電漿以及/或前述之組合。在一些實施例中,蝕刻製程包括濕式蝕刻,使用稀釋的氫氟酸、氫氧化鉀(KOH)溶液、氨、氫氟酸、硝酸(HNO3)、醋酸(CH3COOH)以及/或其他合適的濕式蝕刻劑。在一些範例中,選擇蝕刻劑蝕刻鰭片208而不顯著地蝕刻周遭結構如閘極堆疊1002以及/或側壁間隔物230。即便圖案化的光阻並未完全對準,蝕刻仍得以進行。
蝕刻後,可移除任何剩餘的光阻。
源極/汲極部件可以任何順序形成於n型場效電晶體區204與p型場效電晶體區206中。在一範例中,源極/汲極部件先形成於於n型場效電晶體區204中。參照第9A圖的方框906與第12圖,於工件1000上形成光阻1202,並於光學微影製程中圖案化光阻1202以露出n型場效電晶體區204。
參照第9A圖的方框908與第13圖,n型場效電晶體源極/汲極部件1302形成於n型場效電晶體區204的源極/汲極凹口1102中。n型場效電晶體源極/汲極部件1302也可延伸出源極/汲極凹口1102之外至鰭片208之上的高度。源極/汲極部件1302可實質上與上述的n型場效電晶體源極/汲極部件210相似,且在各 種範例中,利用化學氣相沉積技術(例如,氣相磊晶(vapor-phase epitaxy,VPE)以及/或超高真空化學氣相沉積(ultra-high vacuum CVD,UHV-CVD))、分子束磊晶(molecular beam epitaxy,MBE)以及/或其他合適的製程形成n型場效電晶體源極/汲極部件1302。磊晶製程可使用氣態以及/或液態前驅物(precursor),前驅物可與鰭片208的成分(例如,矽或矽鍺)反應而形成n型場效電晶體源極/汲極部件1302。源極/汲極部件1302的半導體成分可與鰭片208的剩餘部分相似或不同。例如,含矽源極/汲極部件1302可形成於含矽鍺鰭片208,或反之亦然。當源極/汲極部件1302與鰭片208包含多於一種半導體,其比例可實質上相似或不同。
可於原位(in-situ)摻雜源極/汲極部件1302以包括n型摻質如磷或砷以及/或包括前述之組合的其他合適的摻質。此外又或者,形成源極/汲極部件1302後,可利用佈植製程(亦即,接面摻雜製程(junction implant process))摻雜源極/汲極部件1302。在各種範例中,摻雜的源極/汲極部件1302包括SiP、SiCP以及/或SiAs。
形成源極/汲極部件1302後,可移除任何剩餘的光阻1202。
參照第9A圖的方框910與第14圖,於工件1000上形成光阻1402並圖案化光阻1402以露出p型場效電晶體區206。參照第9A圖的方框912並再次參照第14圖,於p型場效電晶體區206中的源極/汲極凹口1102中形成p型場效電晶體源極/汲極部件1404。源極/汲極部件1404也可延伸出源極/汲極凹口1102之外至鰭片208之上的高度。源極/汲極部件1404可實質上與上述的p型場效電晶體源極/汲極部件212相似,且可利用化學氣相沉積技術、分子束磊晶以及/或其他合適的製程形成源極/汲極部件1404。源極/汲極部件1404的半導體成分可與鰭片208的剩餘部 分相似或不同。
可於原位摻雜p型場效電晶體源極/汲極部件1404以包括p型摻質如硼、BF2或銦以及/或包括前述之組合的其他合適的摻質。此外又或者,形成源極/汲極部件1404後,可利用佈植製程(亦即,接面摻雜製程)摻雜源極/汲極部件1404。在各種範例中,摻雜的p型場效電晶體源極/汲極部件1404包括SiGeB以及/或SiGeIn,其相對矽具有較高濃度的鍺(例如,除了摻質,鍺的濃度大於約50原子百分比)。
形成源極/汲極部件1404後,可移除任何剩餘的光阻1402。
參照第9A圖的方框914與第15圖,於工件1000上進行氧化製程。氧化製程可實質上與第1圖的方框106的氧化製程相似,且用以導入氧原子至少至p型場效電晶體區206中的p型場效電晶體源極/汲極部件1404之中。在一些範例中,氧化製程更導入氧原子至n型場效電晶體區204的n型場效電晶體源極/汲極部件1302之中。在一些範例中,使用圖案化的光阻層覆蓋並保護n型場效電晶體區204的源極/汲極部件1302,以防止源極/汲極部件1302被氧化。
氧化製程可包括任何合適的氧化技術,包括乾式(O2)以及/或濕式(H2O)氧化技術。在一些範例中,氧化製程包括在約0.1Torr至約8x105Torr的壓力下加熱工件1000至約250℃到700℃間的溫度,並導入氧原子源如O2或H2O。可以任何合適的持續時間進行氧化製程,且在各種範例中,進行約10分鐘至約24小時之間。
在主要為矽的n型場效電晶體源極/汲極部件1302被氧化的範例中,氧化製程可於源極/汲極部件1302的表面上產生一層SiOx1502。由於矽比起鍺較易氧化,氧化製程可從矽鍺半導體將矽引出以在表面形成主要為氧化矽的 一膜層。在此範例及其他範例中,方框914的氧化製程於SiGe的p型場效電晶體源極/汲極部件1404的表面上產生一層SiOx1502因為此製程實質上是用以避免源極/汲極部件1404中的Ge被氧化。
由於矽從一部分的p型場效電晶體源極/汲極部件1404被引出,氧化製程可形成富鍺層1504,其包含SiOx層1502下剩餘的鍺。相較於源極/汲極部件1404的剩餘部分,富鍺層1504相對矽具有較高濃度的鍺。在各種範例中,除了摻質,富鍺層1504包含約10原子百分比至約100原子百分比的Ge。在此些範例中,富鍺層1504僅包含Ge與摻質。
可形成SiOx層1502與富鍺層1504使其具有任何合適的厚度,且在各種範例中,各具有約1nm至約10nm間的厚度。SiOx層1502可實質上為順應的,並沿著源極/汲極部件1302與1404的頂部之輪廓。在此些範例中,源極/汲極部件1404之上的SiOx層1502具有側邊部分,從遠離側壁間隔物230的方向傾斜向上,以及實質上為水平的中央部分,在側邊部分之間延伸。
參照第9A圖的方框916,可於工件1000上進行摻質活化(activation)製程如快速熱退火(rapid thermal annealing,RTA)以及/或雷射退火(laser annealing)製程,以活化源極/汲極部件1302與1404中的摻質。
參照第9A圖的方框918與第16圖,可於SiOx層1502與源極/汲極部件1302與1404上,並沿著閘極堆疊1002的頂部與側邊形成接觸蝕刻停止層232。接觸蝕刻停止層232可實質上與上述相似,且可利用任何合適的技術沉積接觸蝕刻停止層232,合適的技術包括原子層沉積、化學氣相沉積、高密度電漿化學氣相沉積(high-density plasma CVD,HDPCVD)以及/或其他合適的技術,且可形成接觸蝕刻停止層232使其具有任何合適的厚度。在一些範例中,接觸蝕刻停止 層232具有約1nm至約50nm間的厚度。
參照第9B圖的方框920並再次參照第16圖,於工件1000上形成第一層間介電層1602。第一層間介電層1602可實質上與上述相似,且可利用任何合適的製程形成第一層間介電層1602,合適的製程包括化學氣相沉積、物理氣相沉積、旋轉塗佈沉積以及/或其他合適的製程。
參照第9B圖的方框922與第17圖,於工件1000上進行化學機械研磨/平坦化(chemical mechanical polish/planarization,CMP)製程,以從佔位閘極堆疊1002的頂部移除第一層間介電層1602以及/或接觸蝕刻停止層232。化學機械研磨製程後可接著進行回蝕刻(etch back)製程以從佔位閘極堆疊1002移除任何剩餘的層間介電層1602材料或接觸蝕刻停止層232材料。
參照第9B圖的方框924與第18圖,移除佔位閘極堆疊1002並以功能閘極堆疊1802取代。可利用一或多種蝕刻製程(例如,濕式蝕刻、乾式蝕刻、反應離子蝕刻)移除佔位閘極堆疊1002的材料,蝕刻製程使用了用以選擇性蝕刻佔位閘極堆疊1002材料的蝕刻劑,而不顯著地蝕刻周遭材料如鰭片208、側壁間隔物230、接觸蝕刻停止層232、第一層間介電層1602等。
接著,於凹口中形成功能閘極堆疊1802,凹口是藉由移除佔位閘極堆疊1002所定義。功能閘極堆疊1802可實質上與上述的功能閘極堆疊相似,且可包括膜層如介面層218、閘極介電層220、蓋層222、功函數層224、閘極填充件226以及/或閘極蓋228,各實質上與上述相同。
參照第9B圖的方框926與第19圖,可於現存的層間介電層1602與功能閘極堆疊1802上形成第二層間介電層1902。可實質上如方框920所述的方法形成第二層間介電層1902,且第二層間介電層1902可實質上與第一層間介電層 1602相似。
參照第9B圖的方框928與第20圖用於源極/汲極接觸件的溝槽2002形成於層間介電層1602與1902中。溝槽2002露出源極/汲極部件1302與1404將形成內連接的導電部件之位置。可實質上如第1圖的方框104所述的方法形成溝槽2002。在一些範例中,於工件1000上形成圖案化的光阻,並選擇性露出部分的層間介電層1602與1902而進行蝕刻。接著如上述的方法蝕刻層間介電層1602與1902的露出部分。
溝槽2002可露出部分或全部的源極/汲極部件1302與1404的SiOx層1502。參照第9B圖的方框930與第21圖,於預清洗製程中移除SiOx層1502露出的部分,預清洗製程係用以避免富鍺層1504被顯著的移除。可實質上如第1圖的方框108所述進行預清洗製程,且其包括乾式清洗製程、濕式清洗製程、反應離子蝕刻以及/或其他合適的清洗方法。取決於溝槽以及後續將形成的接觸件的寬度,預清洗製程可保留SiOx層1502的一些傾斜側邊部分以及SiOx層1502的一些水平中央部分。
參照第9B圖的方框932與第22圖,於工件1000上進行第1圖的方框110至114的製程。方框932可包括進行如方框110所述的矽化物/鍺化物形成製程以於n型場效電晶體區204中的含矽源極/汲極部件1302上形成矽化層2202,以及於p型場效電晶體區206中的含矽鍺源極/汲極部件1404上形成鍺化層2204與氮化鍺化蓋層2206。在各種範例中,矽化層2202具有約1nm至約10nm間的厚度;剩餘的富鍺層1504具有小於約2nm的厚度;鍺化層2204具有約2nm至約5nm間的厚度;且氮化鍺化蓋層2206具有約1nm至約3nm間的厚度。在各種範例中,氮化鍺化蓋層2206具有約15至約40原子百分比間的氮原子濃度。
如方框112所述,源極/汲極接觸件702可形成於溝槽2002中,並延伸穿過剩餘的SiOx層1502以耦接至源極/汲極部件1302與1404。詳細而論,接觸件702可物理性與電性耦接至n型場效電晶體源極/汲極部件1302的矽化層2202,且耦接至p型場效電晶體源極/汲極部件1404的鍺化層2204以及/或氮化鍺化蓋層2206。接觸件702可實質上如上述並可包括一或多層導電材料如金屬、金屬氧化物、金屬氮化物以及/或前述之組合。
如方框114所述,可進行平坦化製程移除接觸材料在層間介電層1602與1902之上的部分。
參照第9B圖的方框934,為進一步的製程提供工件1000。在各種範例中,方框934包括形成電性內連接結構的剩餘部分、切割、封裝以及其他合適的製程步驟。
因此,本發明實施例提供積體電路的範例以及積體電路的形成方法之範例,其位於源極/汲極部件與源極/汲極接觸件之間具有改良的介面。在一些實施例中,積體電路的形成方法包括接收基板,其具有源極/汲極部件設置於其上。源極/汲極部件包括第一半導體元素及第二半導體元素。氧化源極/汲極部件的第一半導體元素以產生源極/汲極部件上第一半導體元素的氧化物,以及源極/汲極部件的區域,此區域比起源極/汲極部件的剩餘部分具有較高濃度的第二半導體元素。移除第一半導體元素的氧化物,並形成接觸件電性耦接至源極/汲極部件。在此些實施例中,第一半導體元素包括矽,且第二半導體元素包括鍺。在此些實施例中,導入金屬至源極/汲極部件的區域,以形成源極/汲極部件的鍺化層。在此些實施例中,導入氮至鍺化層,以於鍺化層的剩餘部分上形成氮化蓋層,且接觸件物理耦接至氮化蓋層。在此些實施例中,源極/汲極部件的區域 實質上不包含第一半導體元素。在此些實施例中,源極/汲極部件為p型場效電晶體源極/汲極部件,且基板更具有n型場效電晶體源極/汲極部件設置於其上。n型場效電晶體源極/汲極部件包括第一半導體元素。氧化p型場效電晶體源極/汲極部件的第一半導體元素之步驟更於n型場效電晶體源極/汲極部件上形成第一半導體元素的氧化物,且移除氧化物的步驟從p型場效電晶體源極/汲極部件及n型場效電晶體源極/汲極部件移除氧化物。在此些範例中,n型場效電晶體源極/汲極部件實質上不包含第二半導體元素。在此些範例中,基板更包括層間介電質,其設置於源極/汲極部件上,且凹口形成於層間介電質中,露出源極/汲極部件。通過凹口進行氧化及移除氧化物的步驟。在此些實施例中,接觸件形成於凹口中。
在更多的實施例中,接收基板,其具有n型場效電晶體區及p型場效電晶體區,n型場效電晶體區具有n型場效電晶體源極/汲極部件而p型場效電晶體區具有p型場效電晶體源極/汲極部件。p型場效電晶體源極/汲極部件包括第一半導體材料及第二半導體材料。於n型場效電晶體源極/汲極部件與p型場效電晶體源極/汲極部件上進行氧化製程,以於n型場效電晶體源極/汲極部件與p型場效電晶體源極/汲極部件上形成氧化層。氧化製程更形成p型場效電晶體源極/汲極部件的區域,此區域比起p型場效電晶體源極/汲極部件的剩餘部分具有較高濃度的第二半導體材料。從n型場效電晶體源極/汲極部件及p型場效電晶體源極/汲極部件移除氧化層。形成第一接觸件電性耦接至n型場效電晶體源極/汲極部件,且形成第二接觸件電性耦接至p型場效電晶體源極/汲極部件。在此些實施例中,第一半導體材料包括矽,且第二半導體材料包括鍺。在此些實施例中,n型場效電晶體源極/汲極部件實質上不包含鍺。在此些實施例中,從p型場效電晶體源極/汲極部件的區域以較高濃度的第二半導體材料形成鍺化層。在此些實施例中,p型場 效電晶體源極/汲極部件的區域實質上不包含第一半導體材料。在此些實施例中,基板更包括層間介電質,其設置於n型場效電晶體源極/汲極部件與p型場效電晶體源極/汲極部件上。第一凹口形成於層間介電質中,露出n型場效電晶體源極/汲極部件,且第二凹口形成於層間介電質中,露出p型場效電晶體源極/汲極部件。通過第一凹口與第二凹口進行氧化製程及移除氧化層的步驟。
在更多的實施例中,接收基板,其具有鰭片設置於其上。SiGe源極/汲極部件形成於鰭片上。氧化SiGe源極/汲極部件的頂表面以於SiGe源極/汲極部件上形成氧化層,以及SiGe源極/汲極部件的區域,其比起SiGe源極/汲極部件的剩餘部分具有較高濃度的Ge。從SiGe源極/汲極部件移除氧化層,且形成接觸件耦接至SiGe源極/汲極部件。在此些實施例中,SiGe源極/汲極部件的區域實質上不包含矽。在此些實施例中,導入金屬至SiGe源極/汲極部件的區域中以形成鍺化層。在此些實施例中,導入氮至鍺化層以於鍺化層上形成氮化蓋層。在此些實施例中,在氧化頂表面後以及移除氧化層的步驟之前,於層間介電質上形成層間介電質。
在更多的實施例中,積體電路裝置包括:基板,具有鰭片,從基板的剩餘部分延伸出;源極/汲極部件,設置於鰭片上;以及接觸件,耦接至源極/汲極部件。源極/汲極部件包括SiGe半導體,且源極/汲極部件的頂部部分具有與源極/汲極部件的底部部分不同的鍺濃度。在此些實施例中,積體電路裝置也包括介電層,其包括氧化矽,設置於源極/汲極部件的頂部部分上。接觸件延伸穿過介電層。在此些實施例中,積體電路裝置也包括蝕刻停止層,設置於介電層上,且接觸件延伸穿過蝕刻停止層。在此些實施例中,介電層包括向上傾斜的側邊部分,以及從側邊部分延伸的水平中心部分,其物理接觸接觸件。
以上概述數個實施例之部件,以便在本發明所屬技術領域中具有通常知識者可更易理解本發明實施例的觀點。在本發明所屬技術領域中具有通常知識者應理解,他們能以本發明實施例為基礎,設計或修改其他製程和結構,以達到與在此介紹的實施例相同之目的及/或優勢。在本發明所屬技術領域中具有通常知識者也應理解到,此類等效的製程和結構並無悖離本發明的精神與範圍,且他們能在不違背本發明之精神和範圍之下,做各式各樣的改變、取代和替換。
100:方法
102,104,106,108,110,112,114,116:方框

Claims (14)

  1. 一種積體電路裝置的製造方法,包括:接收一基板,其具有一第一源極/汲極部件及一第二源極/汲極部件設置於其上,其中該第一源極/汲極部件包括一第一半導體元素及一第二半導體元素,且該第二源極/汲極部件包括該第一半導體元素,其中該第一源極/汲極部件為具有一第一導電型的一第一電晶體的一部分,且該第二源極/汲極部件為具有相對於該第一導電型的一第二導電型的一第二電晶體的一部分;氧化該第一源極/汲極部件的該第一半導體元素以產生一氧化層以及該第一源極/汲極部件的一區域,該氧化層包括該第一源極/汲極部件上的該第一半導體元素,該區域比起該第一源極/汲極部件的一剩餘部分具有一較高濃度的該第二半導體元素,其中氧化該第一源極/汲極部件的該第一半導體元素之步驟更包括氧化該第二源極/汲極部件的該第一半導體元素,以在該第二源極/汲極部件上形成該氧化層;從該第一源極/汲極部件和該第二源極/汲極部件移除該氧化層;以及形成一接觸件電性耦接至該第一源極/汲極部件。
  2. 如申請專利範圍請求項1之積體電路裝置的製造方法,其中該第一半導體元素包括矽,且該第二半導體元素包括鍺。
  3. 如申請專利範圍請求項2之積體電路裝置的製造方法,更包括導入金屬至該第一源極/汲極部件的該區域,以形成該第一源極/汲極部件的一鍺化層(germanide layer)。
  4. 如申請專利範圍請求項3之積體電路裝置的製造方法,更包括導入氮至該鍺化層,以於該鍺化層的一剩餘部分上形成一氮化蓋層(nitridized cap layer),其中該接觸件物理耦接至該氮化蓋層。
  5. 如申請專利範圍請求項1至4中任一項之積體電路裝置的製造方法,其中該第一源極/汲極部件的該區域實質上不包含該第一半導體元素。
  6. 如申請專利範圍請求項1之積體電路裝置的製造方法,其中該第二源極/汲極部件實質上不包含該第二半導體元素。
  7. 如申請專利範圍請求項1至4中任一項之積體電路裝置的製造方法,其中:該基板更包括一層間介電質,其設置於該第一源極/汲極部件上;所述之積體電路裝置的製造方法更包括於該層間介電質中形成一凹口(recess),其露出該第一源極/汲極部件;以及通過該凹口進行上述氧化及移除該氧化層的步驟。
  8. 如申請專利範圍請求項7之積體電路裝置的製造方法,其中該接觸件形成於該凹口中。
  9. 一種積體電路裝置的製造方法,包括:接收一基板,其具有一n型場效電晶體區及一p型場效電晶體區,該n型場效電晶體區具有一n型場效電晶體源極/汲極部件而該p型場效電晶體區具有一p型場效電晶體源極/汲極部件,其中該p型場效電晶體源極/汲極部件包括一第一半導體材料及一第二半導體材料;於該n型場效電晶體源極/汲極部件與該p型場效電晶體源極/汲極部件上進行一氧化製程,以於該n型場效電晶體源極/汲極部件與該p型場效電晶體源極/汲極部件上形成一氧化層,其中該氧化製程更形成該p型場效電晶體源極/汲極部件的一區域,該區域比起該p型場效電晶體源極/汲極部件的一剩餘部分具有一較高 濃度的該第二半導體材料;從該n型場效電晶體源極/汲極部件及該p型場效電晶體源極/汲極部件移除該氧化層;以及形成一第一接觸件電性耦接至該n型場效電晶體源極/汲極部件,以及一第二接觸件電性耦接至該p型場效電晶體源極/汲極部件。
  10. 如申請專利範圍請求項9之積體電路裝置的製造方法,更包括從該p型場效電晶體源極/汲極部件的該區域以該較高濃度的該第二半導體材料形成一鍺化層。
  11. 如申請專利範圍請求項9或10之積體電路裝置的製造方法,其中:該基板更包括一層間介電質,其設置於該n型場效電晶體源極/汲極部件與該p型場效電晶體源極/汲極部件上;所述之積體電路裝置的製造方法更包括於該層間介電質中形成一第一凹口,其露出該n型場效電晶體源極/汲極部件,以及於該層間介電質中形成一第二凹口,其露出該p型場效電晶體源極/汲極部件;以及通過該第一凹口與該第二凹口進行該氧化製程及上述移除該氧化層的步驟。
  12. 一種積體電路裝置,包括:一基板,具有一鰭片(fin),從該基板的一剩餘部分延伸出;一源極/汲極部件,設置於該鰭片上,其中該源極/汲極部件包括一SiGe半導體,且其中該源極/汲極部件的一頂部部分具有與該源極/汲極部件的一底部部分不同的一鍺濃度;以及 一接觸件,耦接至該源極/汲極部件的該頂部部分。
  13. 如申請專利範圍請求項12之積體電路裝置,更包括一介電層,設置於該源極/汲極部件的該頂部部分上,其中該介電層包括氧化矽,且其中該接觸件延伸穿過該介電層。
  14. 如申請專利範圍請求項13之積體電路裝置,更包括一蝕刻停止層,設置於該介電層上,其中該接觸件延伸穿過該蝕刻停止層。
TW108138354A 2018-10-26 2019-10-24 積體電路裝置及其製造方法 TWI734228B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862751038P 2018-10-26 2018-10-26
US62/751,038 2018-10-26
US16/276,833 2019-02-15
US16/276,833 US10937876B2 (en) 2018-10-26 2019-02-15 Source/drain feature to contact interfaces

Publications (2)

Publication Number Publication Date
TW202038382A TW202038382A (zh) 2020-10-16
TWI734228B true TWI734228B (zh) 2021-07-21

Family

ID=70327350

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108138354A TWI734228B (zh) 2018-10-26 2019-10-24 積體電路裝置及其製造方法

Country Status (5)

Country Link
US (2) US10937876B2 (zh)
KR (1) KR102270967B1 (zh)
CN (1) CN111106066B (zh)
DE (1) DE102019118346A1 (zh)
TW (1) TWI734228B (zh)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11552169B2 (en) * 2019-03-27 2023-01-10 Intel Corporation Source or drain structures with phosphorous and arsenic co-dopants
US11621325B2 (en) * 2019-03-28 2023-04-04 Intel Corporation Source or drain structures with low resistivity
US11798846B2 (en) * 2020-08-14 2023-10-24 Taiwan Semiconductor Manufacturing Co., Ltd. Contact plug
US11967526B2 (en) * 2020-09-29 2024-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit structure and manufacturing method thereof
US11626495B2 (en) 2021-02-26 2023-04-11 Taiwan Semiconductor Manufacturing Company, Ltd. Protective liner for source/drain contact to prevent electrical bridging while minimizing resistance

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070187767A1 (en) * 2006-02-13 2007-08-16 Kabushiki Kaisha Toshiba Semiconductor device including misfet
US20110024804A1 (en) * 2009-07-28 2011-02-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming high germanium concentration sige stressor

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7247534B2 (en) 2003-11-19 2007-07-24 International Business Machines Corporation Silicon device on Si:C-OI and SGOI and method of manufacture
JP2009283826A (ja) 2008-05-26 2009-12-03 Toshiba Corp 半導体装置及びその製造方法
US8816444B2 (en) 2011-04-29 2014-08-26 Taiwan Semiconductor Manufacturing Company, Ltd. System and methods for converting planar design to FinFET design
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US8785285B2 (en) 2012-03-08 2014-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US8860148B2 (en) 2012-04-11 2014-10-14 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET integrated with capacitor
US9105490B2 (en) 2012-09-27 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8823065B2 (en) 2012-11-08 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8772109B2 (en) 2012-10-24 2014-07-08 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for forming semiconductor contacts
US9236300B2 (en) 2012-11-30 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plugs in SRAM cells and the method of forming the same
CN104051511B (zh) * 2013-03-14 2017-03-01 台湾积体电路制造股份有限公司 半导体器件及其制造方法
US9508716B2 (en) * 2013-03-14 2016-11-29 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of manufacturing a semiconductor device
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
KR101521555B1 (ko) * 2014-01-28 2015-05-19 한양대학교 산학협력단 게르마늄 응축 공정을 이용한 기판 제조 방법 및 이를 이용한 반도체 소자의 제조 방법
CN105529253B (zh) 2014-09-29 2018-07-10 中芯国际集成电路制造(上海)有限公司 半导体器件的形成方法
US20160104673A1 (en) * 2014-10-09 2016-04-14 United Microelectronics Corp. Fin-shaped field-effect transistor with a germanium epitaxial cap and a method for fabricating the same
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US10283624B1 (en) * 2017-11-14 2019-05-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and method for forming the same

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070187767A1 (en) * 2006-02-13 2007-08-16 Kabushiki Kaisha Toshiba Semiconductor device including misfet
US20110024804A1 (en) * 2009-07-28 2011-02-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming high germanium concentration sige stressor

Also Published As

Publication number Publication date
CN111106066A (zh) 2020-05-05
US20200135874A1 (en) 2020-04-30
DE102019118346A1 (de) 2020-04-30
CN111106066B (zh) 2022-08-23
KR102270967B1 (ko) 2021-07-02
US20210210608A1 (en) 2021-07-08
KR20200049501A (ko) 2020-05-08
US10937876B2 (en) 2021-03-02
TW202038382A (zh) 2020-10-16

Similar Documents

Publication Publication Date Title
US11862734B2 (en) Self-aligned spacers for multi-gate devices and method of fabrication thereof
TWI734228B (zh) 積體電路裝置及其製造方法
TWI698931B (zh) 半導體裝置及其形成方法
TWI776954B (zh) 半導體結構形成方法及半導體結構
TW201810665A (zh) 半導體裝置及其製造方法
TW202018821A (zh) 積體電路的製造方法
US20230118700A1 (en) Inner Spacer Formation in Multi-Gate Transistors
KR20190058329A (ko) 게이트 유전체 보존 게이트 컷 프로세스
TWI780685B (zh) 形成半導體裝置的方法
TWI730478B (zh) 製造半導體元件之方法以及半導體元件
US11133223B2 (en) Selective epitaxy
TW202038317A (zh) 製造半導體裝置之方法
TWI762265B (zh) 半導體裝置與其製造方法
CN113284951B (zh) 晶体管及其形成方法
CN113380706B (zh) 具有前侧和后侧的半导体结构及其形成方法
CN113140508A (zh) 半导体装置的制造方法
KR20230109536A (ko) 반도체 디바이스를 제조하는 방법 및 반도체 디바이스
KR102623749B1 (ko) 갭충전 구조물 및 그 제조 방법
US20230207653A1 (en) Low resistance contact feature
US20230011783A1 (en) Metal gate for gate-all-around devices and methods for forming the same
TW202412262A (zh) 半導體結構
TW202343670A (zh) 半導體結構及其形成方法
TW202326943A (zh) 半導體裝置的製造方法
TW202213632A (zh) 半導體裝置及其製造方法