TW202412262A - 半導體結構 - Google Patents
半導體結構 Download PDFInfo
- Publication number
- TW202412262A TW202412262A TW112117425A TW112117425A TW202412262A TW 202412262 A TW202412262 A TW 202412262A TW 112117425 A TW112117425 A TW 112117425A TW 112117425 A TW112117425 A TW 112117425A TW 202412262 A TW202412262 A TW 202412262A
- Authority
- TW
- Taiwan
- Prior art keywords
- fin
- layer
- component
- type
- contact
- Prior art date
Links
- 239000004065 semiconductor Substances 0.000 title claims abstract description 204
- 239000010410 layer Substances 0.000 claims abstract description 377
- 239000011229 interlayer Substances 0.000 claims abstract description 43
- 239000000758 substrate Substances 0.000 claims description 43
- 238000002955 isolation Methods 0.000 claims description 40
- 239000002019 doping agent Substances 0.000 claims description 33
- 125000006850 spacer group Chemical group 0.000 claims description 31
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 claims description 20
- 229910052710 silicon Inorganic materials 0.000 claims description 20
- 239000010703 silicon Substances 0.000 claims description 20
- 229910000577 Silicon-germanium Inorganic materials 0.000 claims description 12
- LEVVHYCKPQWKOP-UHFFFAOYSA-N [Si].[Ge] Chemical compound [Si].[Ge] LEVVHYCKPQWKOP-UHFFFAOYSA-N 0.000 claims description 7
- 238000000034 method Methods 0.000 description 83
- 230000008569 process Effects 0.000 description 61
- 238000002513 implantation Methods 0.000 description 18
- 239000003989 dielectric material Substances 0.000 description 16
- 238000005530 etching Methods 0.000 description 16
- 229910052751 metal Inorganic materials 0.000 description 16
- 239000002184 metal Substances 0.000 description 16
- 229910021332 silicide Inorganic materials 0.000 description 15
- FVBUAEGBCNSCDD-UHFFFAOYSA-N silicide(4-) Chemical compound [Si-4] FVBUAEGBCNSCDD-UHFFFAOYSA-N 0.000 description 15
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 13
- 239000000463 material Substances 0.000 description 13
- 229920002120 photoresistant polymer Polymers 0.000 description 13
- 125000004429 atom Chemical group 0.000 description 12
- 229910052732 germanium Inorganic materials 0.000 description 12
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 12
- 239000000203 mixture Substances 0.000 description 12
- 238000000151 deposition Methods 0.000 description 11
- 230000007704 transition Effects 0.000 description 11
- 238000004519 manufacturing process Methods 0.000 description 10
- 238000005229 chemical vapour deposition Methods 0.000 description 9
- 230000001681 protective effect Effects 0.000 description 9
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 description 8
- MHAJPDPJQMAIIY-UHFFFAOYSA-N Hydrogen peroxide Chemical compound OO MHAJPDPJQMAIIY-UHFFFAOYSA-N 0.000 description 8
- 230000004888 barrier function Effects 0.000 description 8
- 229910052814 silicon oxide Inorganic materials 0.000 description 8
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 description 7
- PXHVJJICTQNCMI-UHFFFAOYSA-N Nickel Chemical compound [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 description 7
- 229910052581 Si3N4 Inorganic materials 0.000 description 7
- 230000015572 biosynthetic process Effects 0.000 description 7
- 229910052796 boron Inorganic materials 0.000 description 7
- 239000005350 fused silica glass Substances 0.000 description 7
- 239000010936 titanium Substances 0.000 description 7
- 238000011049 filling Methods 0.000 description 6
- 239000002243 precursor Substances 0.000 description 6
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 6
- OAICVXFJPJFONN-UHFFFAOYSA-N Phosphorus Chemical compound [P] OAICVXFJPJFONN-UHFFFAOYSA-N 0.000 description 5
- 238000000137 annealing Methods 0.000 description 5
- 230000008021 deposition Effects 0.000 description 5
- 229910052698 phosphorus Inorganic materials 0.000 description 5
- 239000011574 phosphorus Substances 0.000 description 5
- VEXZGXHMUGYJMC-UHFFFAOYSA-N Hydrochloric acid Chemical compound Cl VEXZGXHMUGYJMC-UHFFFAOYSA-N 0.000 description 4
- BOTDANWDWHJENH-UHFFFAOYSA-N Tetraethyl orthosilicate Chemical compound CCO[Si](OCC)(OCC)OCC BOTDANWDWHJENH-UHFFFAOYSA-N 0.000 description 4
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 4
- -1 aluminum silicon oxide Chemical compound 0.000 description 4
- 238000000231 atomic layer deposition Methods 0.000 description 4
- 239000005380 borophosphosilicate glass Substances 0.000 description 4
- DIKBFYAXUHHXCS-UHFFFAOYSA-N bromoform Chemical compound BrC(Br)Br DIKBFYAXUHHXCS-UHFFFAOYSA-N 0.000 description 4
- 229910017052 cobalt Inorganic materials 0.000 description 4
- 239000010941 cobalt Substances 0.000 description 4
- 238000005137 deposition process Methods 0.000 description 4
- 239000007789 gas Substances 0.000 description 4
- 239000007943 implant Substances 0.000 description 4
- 238000000059 patterning Methods 0.000 description 4
- 239000005360 phosphosilicate glass Substances 0.000 description 4
- 229910052719 titanium Inorganic materials 0.000 description 4
- 238000000038 ultrahigh vacuum chemical vapour deposition Methods 0.000 description 4
- 238000000927 vapour-phase epitaxy Methods 0.000 description 4
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 4
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 3
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 3
- 229910000530 Gallium indium arsenide Inorganic materials 0.000 description 3
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 description 3
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 3
- RVSGESPTHDDNTH-UHFFFAOYSA-N alumane;tantalum Chemical compound [AlH3].[Ta] RVSGESPTHDDNTH-UHFFFAOYSA-N 0.000 description 3
- 229910021529 ammonia Inorganic materials 0.000 description 3
- 229910052785 arsenic Inorganic materials 0.000 description 3
- RQNWIZPPADIBDY-UHFFFAOYSA-N arsenic atom Chemical compound [As] RQNWIZPPADIBDY-UHFFFAOYSA-N 0.000 description 3
- 230000008901 benefit Effects 0.000 description 3
- 229910052799 carbon Inorganic materials 0.000 description 3
- 238000004140 cleaning Methods 0.000 description 3
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical compound [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 description 3
- 230000007547 defect Effects 0.000 description 3
- 230000000694 effects Effects 0.000 description 3
- 238000005516 engineering process Methods 0.000 description 3
- 230000005669 field effect Effects 0.000 description 3
- 239000001257 hydrogen Substances 0.000 description 3
- 229910052739 hydrogen Inorganic materials 0.000 description 3
- 238000001459 lithography Methods 0.000 description 3
- 229910052759 nickel Inorganic materials 0.000 description 3
- 238000001020 plasma etching Methods 0.000 description 3
- BASFCYQUMIYNBI-UHFFFAOYSA-N platinum Chemical compound [Pt] BASFCYQUMIYNBI-UHFFFAOYSA-N 0.000 description 3
- 238000004528 spin coating Methods 0.000 description 3
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 2
- JBRZTFJDHDCESZ-UHFFFAOYSA-N AsGa Chemical compound [As]#[Ga] JBRZTFJDHDCESZ-UHFFFAOYSA-N 0.000 description 2
- WKBOTKDWSSQWDR-UHFFFAOYSA-N Bromine atom Chemical compound [Br] WKBOTKDWSSQWDR-UHFFFAOYSA-N 0.000 description 2
- HEDRZPFGACZZDS-UHFFFAOYSA-N Chloroform Chemical compound ClC(Cl)Cl HEDRZPFGACZZDS-UHFFFAOYSA-N 0.000 description 2
- KRHYYFGTRYWZRS-UHFFFAOYSA-N Fluorane Chemical compound F KRHYYFGTRYWZRS-UHFFFAOYSA-N 0.000 description 2
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 description 2
- XPDWGBQVDMORPB-UHFFFAOYSA-N Fluoroform Chemical compound FC(F)F XPDWGBQVDMORPB-UHFFFAOYSA-N 0.000 description 2
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 2
- GPXJNWSHGFTCBW-UHFFFAOYSA-N Indium phosphide Chemical compound [In]#P GPXJNWSHGFTCBW-UHFFFAOYSA-N 0.000 description 2
- KJTLSVCANCCWHF-UHFFFAOYSA-N Ruthenium Chemical compound [Ru] KJTLSVCANCCWHF-UHFFFAOYSA-N 0.000 description 2
- 101100012902 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) FIG2 gene Proteins 0.000 description 2
- MCMNRKCIXSYSNV-UHFFFAOYSA-N Zirconium dioxide Chemical compound O=[Zr]=O MCMNRKCIXSYSNV-UHFFFAOYSA-N 0.000 description 2
- UQZIWOQVLUASCR-UHFFFAOYSA-N alumane;titanium Chemical compound [AlH3].[Ti] UQZIWOQVLUASCR-UHFFFAOYSA-N 0.000 description 2
- FTWRSWRBSVXQPI-UHFFFAOYSA-N alumanylidynearsane;gallanylidynearsane Chemical compound [As]#[Al].[As]#[Ga] FTWRSWRBSVXQPI-UHFFFAOYSA-N 0.000 description 2
- 239000006117 anti-reflective coating Substances 0.000 description 2
- 229910000413 arsenic oxide Inorganic materials 0.000 description 2
- 229960002594 arsenic trioxide Drugs 0.000 description 2
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 2
- RRZKHZBOZDIQJG-UHFFFAOYSA-N azane;manganese Chemical compound N.[Mn] RRZKHZBOZDIQJG-UHFFFAOYSA-N 0.000 description 2
- GDTBXPJZTBHREO-UHFFFAOYSA-N bromine Substances BrBr GDTBXPJZTBHREO-UHFFFAOYSA-N 0.000 description 2
- 229910052794 bromium Inorganic materials 0.000 description 2
- 239000010949 copper Substances 0.000 description 2
- 125000004122 cyclic group Chemical group 0.000 description 2
- MROCJMGDEKINLD-UHFFFAOYSA-N dichlorosilane Chemical compound Cl[SiH2]Cl MROCJMGDEKINLD-UHFFFAOYSA-N 0.000 description 2
- OKZIUSOJQLYFSE-UHFFFAOYSA-N difluoroboron Chemical compound F[B]F OKZIUSOJQLYFSE-UHFFFAOYSA-N 0.000 description 2
- RWRIWBAIICGTTQ-UHFFFAOYSA-N difluoromethane Chemical compound FCF RWRIWBAIICGTTQ-UHFFFAOYSA-N 0.000 description 2
- 238000001312 dry etching Methods 0.000 description 2
- 229910052731 fluorine Inorganic materials 0.000 description 2
- 239000011737 fluorine Substances 0.000 description 2
- 238000011065 in-situ storage Methods 0.000 description 2
- 229910052738 indium Inorganic materials 0.000 description 2
- APFVFJFRJDLVQX-UHFFFAOYSA-N indium atom Chemical compound [In] APFVFJFRJDLVQX-UHFFFAOYSA-N 0.000 description 2
- 239000012212 insulator Substances 0.000 description 2
- NFFIWVVINABMKP-UHFFFAOYSA-N methylidynetantalum Chemical compound [Ta]#C NFFIWVVINABMKP-UHFFFAOYSA-N 0.000 description 2
- 238000001451 molecular beam epitaxy Methods 0.000 description 2
- 239000002135 nanosheet Substances 0.000 description 2
- 239000002070 nanowire Substances 0.000 description 2
- GVGCUCJTUSOZKP-UHFFFAOYSA-N nitrogen trifluoride Chemical compound FN(F)F GVGCUCJTUSOZKP-UHFFFAOYSA-N 0.000 description 2
- 239000001301 oxygen Substances 0.000 description 2
- 229910052760 oxygen Inorganic materials 0.000 description 2
- RVTZCBVAJQQJTK-UHFFFAOYSA-N oxygen(2-);zirconium(4+) Chemical compound [O-2].[O-2].[Zr+4] RVTZCBVAJQQJTK-UHFFFAOYSA-N 0.000 description 2
- 238000005240 physical vapour deposition Methods 0.000 description 2
- 238000005498 polishing Methods 0.000 description 2
- 239000010453 quartz Substances 0.000 description 2
- 229910052707 ruthenium Inorganic materials 0.000 description 2
- 229910000077 silane Inorganic materials 0.000 description 2
- 239000005368 silicate glass Substances 0.000 description 2
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 2
- 239000000126 substance Substances 0.000 description 2
- SFZCNBIFKDRMGX-UHFFFAOYSA-N sulfur hexafluoride Chemical compound FS(F)(F)(F)(F)F SFZCNBIFKDRMGX-UHFFFAOYSA-N 0.000 description 2
- 229910003468 tantalcarbide Inorganic materials 0.000 description 2
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 description 2
- VZGDMQKNWNREIO-UHFFFAOYSA-N tetrachloromethane Chemical compound ClC(Cl)(Cl)Cl VZGDMQKNWNREIO-UHFFFAOYSA-N 0.000 description 2
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 2
- 229910052721 tungsten Inorganic materials 0.000 description 2
- 239000010937 tungsten Substances 0.000 description 2
- 229910001928 zirconium oxide Inorganic materials 0.000 description 2
- ZCYVEMRRCGMTRW-UHFFFAOYSA-N 7553-56-2 Chemical compound [I] ZCYVEMRRCGMTRW-UHFFFAOYSA-N 0.000 description 1
- 229910018072 Al 2 O 3 Inorganic materials 0.000 description 1
- VHUUQVKOLVNVRT-UHFFFAOYSA-N Ammonium hydroxide Chemical compound [NH4+].[OH-] VHUUQVKOLVNVRT-UHFFFAOYSA-N 0.000 description 1
- 229910015844 BCl3 Inorganic materials 0.000 description 1
- KZBUYRJDOAKODT-UHFFFAOYSA-N Chlorine Chemical compound ClCl KZBUYRJDOAKODT-UHFFFAOYSA-N 0.000 description 1
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 1
- GYHNNYVSQQEPJS-UHFFFAOYSA-N Gallium Chemical compound [Ga] GYHNNYVSQQEPJS-UHFFFAOYSA-N 0.000 description 1
- 229910001218 Gallium arsenide Inorganic materials 0.000 description 1
- 229910004129 HfSiO Inorganic materials 0.000 description 1
- 229910000673 Indium arsenide Inorganic materials 0.000 description 1
- 229910021193 La 2 O 3 Inorganic materials 0.000 description 1
- GEIAQOFPUVMAGM-UHFFFAOYSA-N Oxozirconium Chemical compound [Zr]=O GEIAQOFPUVMAGM-UHFFFAOYSA-N 0.000 description 1
- XYFCBTPGUUZFHI-UHFFFAOYSA-N Phosphine Chemical compound P XYFCBTPGUUZFHI-UHFFFAOYSA-N 0.000 description 1
- 101100233916 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) KAR5 gene Proteins 0.000 description 1
- 229910002367 SrTiO Inorganic materials 0.000 description 1
- GWEVSGVZZGPLCZ-UHFFFAOYSA-N Titan oxide Chemical compound O=[Ti]=O GWEVSGVZZGPLCZ-UHFFFAOYSA-N 0.000 description 1
- 229910006501 ZrSiO Inorganic materials 0.000 description 1
- BMSYAGRCQOYYMZ-UHFFFAOYSA-N [As].[As] Chemical compound [As].[As] BMSYAGRCQOYYMZ-UHFFFAOYSA-N 0.000 description 1
- NJOUCWMGKWSQLQ-UHFFFAOYSA-N [O-2].[Ti+4].[AsH3] Chemical compound [O-2].[Ti+4].[AsH3] NJOUCWMGKWSQLQ-UHFFFAOYSA-N 0.000 description 1
- NOSVTINSKLIVGN-UHFFFAOYSA-N [Si]=O.[As] Chemical compound [Si]=O.[As] NOSVTINSKLIVGN-UHFFFAOYSA-N 0.000 description 1
- ILCYGSITMBHYNK-UHFFFAOYSA-N [Si]=O.[Hf] Chemical compound [Si]=O.[Hf] ILCYGSITMBHYNK-UHFFFAOYSA-N 0.000 description 1
- WLMKEIPQEQYXIC-UHFFFAOYSA-N [V].[As] Chemical compound [V].[As] WLMKEIPQEQYXIC-UHFFFAOYSA-N 0.000 description 1
- 229910045601 alloy Inorganic materials 0.000 description 1
- 239000000956 alloy Substances 0.000 description 1
- 229910052782 aluminium Inorganic materials 0.000 description 1
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 1
- AJGDITRVXRPLBY-UHFFFAOYSA-N aluminum indium Chemical compound [Al].[In] AJGDITRVXRPLBY-UHFFFAOYSA-N 0.000 description 1
- 239000000908 ammonium hydroxide Substances 0.000 description 1
- 229910052786 argon Inorganic materials 0.000 description 1
- RBFQJDQYXXHULB-UHFFFAOYSA-N arsane Chemical compound [AsH3] RBFQJDQYXXHULB-UHFFFAOYSA-N 0.000 description 1
- 238000004380 ashing Methods 0.000 description 1
- IVHJCRXBQPGLOV-UHFFFAOYSA-N azanylidynetungsten Chemical compound [W]#N IVHJCRXBQPGLOV-UHFFFAOYSA-N 0.000 description 1
- 238000005452 bending Methods 0.000 description 1
- 230000009286 beneficial effect Effects 0.000 description 1
- 239000005388 borosilicate glass Substances 0.000 description 1
- WUKWITHWXAAZEY-UHFFFAOYSA-L calcium difluoride Chemical compound [F-].[F-].[Ca+2] WUKWITHWXAAZEY-UHFFFAOYSA-L 0.000 description 1
- 239000002800 charge carrier Substances 0.000 description 1
- 239000011248 coating agent Substances 0.000 description 1
- 238000000576 coating method Methods 0.000 description 1
- 150000001875 compounds Chemical class 0.000 description 1
- 229910052802 copper Inorganic materials 0.000 description 1
- 230000008878 coupling Effects 0.000 description 1
- 238000010168 coupling process Methods 0.000 description 1
- 238000005859 coupling reaction Methods 0.000 description 1
- 239000013078 crystal Substances 0.000 description 1
- 229910021419 crystalline silicon Inorganic materials 0.000 description 1
- 230000003247 decreasing effect Effects 0.000 description 1
- 239000008367 deionised water Substances 0.000 description 1
- 229910021641 deionized water Inorganic materials 0.000 description 1
- ZOCHARZZJNPSEU-UHFFFAOYSA-N diboron Chemical compound B#B ZOCHARZZJNPSEU-UHFFFAOYSA-N 0.000 description 1
- 238000009792 diffusion process Methods 0.000 description 1
- 238000009826 distribution Methods 0.000 description 1
- 230000009977 dual effect Effects 0.000 description 1
- 230000008020 evaporation Effects 0.000 description 1
- 238000001704 evaporation Methods 0.000 description 1
- 230000009969 flowable effect Effects 0.000 description 1
- 229910052733 gallium Inorganic materials 0.000 description 1
- HZXMRANICFIONG-UHFFFAOYSA-N gallium phosphide Chemical compound [Ga]#P HZXMRANICFIONG-UHFFFAOYSA-N 0.000 description 1
- QUZPNFFHZPRKJD-UHFFFAOYSA-N germane Chemical compound [GeH4] QUZPNFFHZPRKJD-UHFFFAOYSA-N 0.000 description 1
- 229910052986 germanium hydride Inorganic materials 0.000 description 1
- 239000011521 glass Substances 0.000 description 1
- 229910052735 hafnium Inorganic materials 0.000 description 1
- VBJZVLUMGGDVMO-UHFFFAOYSA-N hafnium atom Chemical compound [Hf] VBJZVLUMGGDVMO-UHFFFAOYSA-N 0.000 description 1
- 229910000449 hafnium oxide Inorganic materials 0.000 description 1
- WIHZLLGSGQNAGK-UHFFFAOYSA-N hafnium(4+);oxygen(2-) Chemical compound [O-2].[O-2].[Hf+4] WIHZLLGSGQNAGK-UHFFFAOYSA-N 0.000 description 1
- 150000002431 hydrogen Chemical class 0.000 description 1
- WPYVAWXEWQSOGY-UHFFFAOYSA-N indium antimonide Chemical compound [Sb]#[In] WPYVAWXEWQSOGY-UHFFFAOYSA-N 0.000 description 1
- RPQDHPTXJYYUPQ-UHFFFAOYSA-N indium arsenide Chemical compound [In]#[As] RPQDHPTXJYYUPQ-UHFFFAOYSA-N 0.000 description 1
- SIXIBASSFIFHDK-UHFFFAOYSA-N indium(3+);trisulfide Chemical compound [S-2].[S-2].[S-2].[In+3].[In+3] SIXIBASSFIFHDK-UHFFFAOYSA-N 0.000 description 1
- 239000011810 insulating material Substances 0.000 description 1
- 229910052740 iodine Inorganic materials 0.000 description 1
- 239000011630 iodine Substances 0.000 description 1
- 238000005468 ion implantation Methods 0.000 description 1
- 238000011068 loading method Methods 0.000 description 1
- 239000007769 metal material Substances 0.000 description 1
- 239000002086 nanomaterial Substances 0.000 description 1
- 150000004767 nitrides Chemical class 0.000 description 1
- 229910052757 nitrogen Inorganic materials 0.000 description 1
- 229910000069 nitrogen hydride Inorganic materials 0.000 description 1
- TWNQGVIAIRXVLR-UHFFFAOYSA-N oxo(oxoalumanyloxy)alumane Chemical compound O=[Al]O[Al]=O TWNQGVIAIRXVLR-UHFFFAOYSA-N 0.000 description 1
- SIWVEOZUMHYXCS-UHFFFAOYSA-N oxo(oxoyttriooxy)yttrium Chemical compound O=[Y]O[Y]=O SIWVEOZUMHYXCS-UHFFFAOYSA-N 0.000 description 1
- BPUBBGLMJRNUCC-UHFFFAOYSA-N oxygen(2-);tantalum(5+) Chemical compound [O-2].[O-2].[O-2].[O-2].[O-2].[Ta+5].[Ta+5] BPUBBGLMJRNUCC-UHFFFAOYSA-N 0.000 description 1
- 230000003071 parasitic effect Effects 0.000 description 1
- 150000002978 peroxides Chemical class 0.000 description 1
- 238000000206 photolithography Methods 0.000 description 1
- 229910052697 platinum Inorganic materials 0.000 description 1
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 1
- 229920001709 polysilazane Polymers 0.000 description 1
- 229920005591 polysilicon Polymers 0.000 description 1
- 239000011241 protective layer Substances 0.000 description 1
- 230000005855 radiation Effects 0.000 description 1
- 239000003870 refractory metal Substances 0.000 description 1
- 239000011347 resin Substances 0.000 description 1
- 229920005989 resin Polymers 0.000 description 1
- 238000007665 sagging Methods 0.000 description 1
- 229910010271 silicon carbide Inorganic materials 0.000 description 1
- HWEYZGSCHQNNEH-UHFFFAOYSA-N silicon tantalum Chemical compound [Si].[Ta] HWEYZGSCHQNNEH-UHFFFAOYSA-N 0.000 description 1
- 229920005573 silicon-containing polymer Polymers 0.000 description 1
- 239000005361 soda-lime glass Substances 0.000 description 1
- 238000006467 substitution reaction Methods 0.000 description 1
- 229910052715 tantalum Inorganic materials 0.000 description 1
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 description 1
- TXEYQDLBPFQVAA-UHFFFAOYSA-N tetrafluoromethane Chemical compound FC(F)(F)F TXEYQDLBPFQVAA-UHFFFAOYSA-N 0.000 description 1
- OGIDPMRJRNCKJF-UHFFFAOYSA-N titanium oxide Inorganic materials [Ti]=O OGIDPMRJRNCKJF-UHFFFAOYSA-N 0.000 description 1
- 229910052723 transition metal Inorganic materials 0.000 description 1
- FAQYAMRNWDIXMY-UHFFFAOYSA-N trichloroborane Chemical compound ClB(Cl)Cl FAQYAMRNWDIXMY-UHFFFAOYSA-N 0.000 description 1
- UONOETXJSWQNOL-UHFFFAOYSA-N tungsten carbide Chemical compound [W+]#[C-] UONOETXJSWQNOL-UHFFFAOYSA-N 0.000 description 1
- 238000001039 wet etching Methods 0.000 description 1
- GFQYVLUOOAAOGM-UHFFFAOYSA-N zirconium(iv) silicate Chemical compound [Zr+4].[O-][Si]([O-])([O-])[O-] GFQYVLUOOAAOGM-UHFFFAOYSA-N 0.000 description 1
Images
Abstract
根據本揭露之半導體結構包括:第一p型磊晶部件、第二p型磊晶部件、層間介電層、第一接觸件及第二接觸件。第一p型磊晶部件設置於第一鰭片之上。第二p型磊晶部件設置於第二鰭片及第三鰭片之上,並跨越第二鰭片及第三鰭片。層間介電層在第一p型磊晶部件及第二p型磊晶部件之上。第一接觸件延伸穿過層間介電層以電性耦合第一p型磊晶部件。第二接觸件延伸穿過層間介電層以電性耦合第二p型磊晶部件。其中第一接觸件的底表面低於第二接觸件的底表面。
Description
本發明實施例是關於半導體技術,特別是關於具有源極/汲極接觸件的半導體結構。
半導體積體電路(integrated circuit,IC)產業經歷了快速成長。在IC發展的過程中,功能密度(functional density)(亦即,單位晶片面積上的互連裝置數量)已普遍地增加,同時伴隨著幾何尺寸(亦即,可使用製程創建的最小組件(或線)的尺寸)的減小。此微縮化(scaling down)的過程普遍地帶來提高生產效率與降低相關成本的益處。然而,此微縮化也伴隨著包含有這些IC的裝置的設計及製造複雜性增加。製造業的平行進步(parallel advances)使得越來越複雜的設計能夠以精確及可靠的方式製造。
微縮化給降低寄生電阻(parasitic resistance)帶來了挑戰。舉例而言,磊晶源極/汲極部件可包括多個磊晶層。磊晶層中的一些被形成以減少晶格失配(lattice mismatch),且磊晶層中的一些被重摻雜。減少晶格失配及重摻雜都有利於降低接觸電阻。根據導電的類型,這些磊晶層可具有不同的形狀及濃度分佈。雖然現有的源極/汲極接觸件通常已滿足它們既定的用途,但它們並非在各方面皆符合要求。
本發明一些實施例提供一種半導體結構。半導體結構包括第一p型磊晶部件、第二p型磊晶部件、層間介電層、第一接觸件及第二接觸件。第一p型磊晶部件設置於第一鰭片之上。第二p型磊晶部件設置於第二鰭片及第三鰭片之上,並跨越第二鰭片及第三鰭片。層間介電層在第一p型磊晶部件及第二p型磊晶部件之上。第一接觸件延伸穿過層間介電層以電性耦合第一p型磊晶部件。第二接觸件延伸穿過層間介電層以電性耦合第二p型磊晶部件。其中第一接觸件的底表面低於第二接觸件的底表面。
本發明另一些實施例提供一種半導體結構。半導體結構包括第一基礎鰭片、第二基礎鰭片、第三基礎鰭片、第一半導體層、第二半導體層、第三半導體層、第一p型源極/汲極部件、第二p型源極/汲極部件、層間介電層、第一接觸件及第二接觸件。第一基礎鰭片、第二基礎鰭片及第三基礎鰭片位在基板之上。第一半導體層在第一基礎鰭片之上。第二半導體層在第二基礎鰭片之上。第三半導體層在第三基礎鰭片之上。第一p型源極/汲極部件在第一半導體層之上。第二p型源極/汲極部件設置於第二半導體層及第三半導體層之上,並跨越第二半導體層及第三半導體層。層間介電層在第一p型源極/汲極部件及第二p型源極/汲極部件之上。第一接觸件延伸穿過層間介電層以電性耦合第一p型源極/汲極部件。第二接觸件延伸穿過層間介電層以電性耦合第二p型源極/汲極部件。其中第一接觸件的底表面低於第二接觸件的底表面。
本發明又一些實施例提供一種半導體結構。半導體結構包括第一基礎鰭片、第二基礎鰭片、第三基礎鰭片、第一半導體層、第二半導體層、第三半導體層、第一n型源極/汲極部件、第二n型源極/汲極部件、層間介電層、第一接觸件及第二接觸件。第一基礎鰭片、第二基礎鰭片及第三基礎鰭片位在基板之上。第一半導體層在第一基礎鰭片之上。第二半導體層在第二基礎鰭片之上。第三半導體層在第三基礎鰭片之上。第一n型源極/汲極部件在第一半導體層之上。第二n型源極/汲極部件設置於第二半導體層及第三半導體層之上,並跨越第二半導體層及第三半導體層。層間介電層在第一n型源極/汲極部件及第二n型源極/汲極部件之上。第一接觸件延伸穿過層間介電層以電性耦合第一n型源極/汲極部件。第二接觸件延伸穿過層間介電層以電性耦合第二n型源極/汲極部件。其中第二接觸件的底表面低於第一接觸件的底表面。
以下揭露提供了許多的實施例或範例,用於實施本發明之不同部件。各部件及其配置的具體範例描述如下,以簡化本發明實施例之說明。當然,這些僅僅是範例,並非用以限定本發明實施例。舉例而言,敘述中若提及第一部件形成在第二部件之上,可能包含第一及第二部件直接接觸的實施例,也可能包含額外的部件形成在第一及第二部件之間,使得它們不直接接觸的實施例。進一步地,本發明實施例可能在各種範例中重複參考數值及∕或字母。如此重複是為了簡明及清楚之目的,而非用以表示所討論的不同實施例及∕或配置之間的關係。
再者,其中可能用到與空間相對用詞,例如「之下(beneath)」、「下方(below)」、「上方(above)」、「較高的(upper)」等類似用詞,是為了便於描述圖式中一個(些)元件或一個(些)部件與另一個(些)元件或另一個(些)部件之間的關係。空間相對用詞用以包括使用中或操作中的裝置之不同方位,及圖式中所描繪的方位。當裝置被轉向不同方位時(旋轉90度或其他方位),其中所使用的空間相對形容詞也將依轉向後的方位來解釋。
此外,當使用 「約」、「近似」等描述一個數字或數字範圍時,此用語意圖涵蓋合理範圍內的數字,此範圍是根據本領域具有通常知識者所理解的製造過程中固有出現的變異而加以考量。舉例而言,基於製造具有該數字相關特徵的部件的已知製造公差,數字的數量或範圍涵蓋了包括所述數字在內的合理範圍,例如所述數字的+/-10%以內。舉例而言,本領域具有通常知識者已知與沈積材料層相關的製造公差為+/-15%,則具有「約5 nm」厚度的材料層可涵蓋4.25 nm至5.75 nm的尺寸範圍。此外,本發明實施例可能在各種範例中重複元件符號及∕或字母。如此重複是為了簡明及清楚之目的,而非用以表示所討論的不同實施例及∕或配置之間的關係。
隨著積體電路(IC)技術朝向更小的技術節點發展,已導入多閘極裝置以藉由增加閘極-通道耦合(gate-channel coupling)、減小關閉狀態電流(off-state current)及減小短通道效應(short-channel effects,SCEs)來改善閘極控制。多閘極裝置通常是指具有閘極結構或其一部分的裝置,其設置於通道區的多於一側之上。鰭式場效電晶體(fin-like field effect transistors,FinFET)及多橋接通道(multi-bridge-channel,MBC)電晶體是多閘極裝置的範例,其已成為高性能及低洩漏應用的主流及有前途的候選者。FinFET具有升高通道(elevated channel),升高通道在多於一側上被閘極所包覆(wrapped)(舉例而言,閘極包覆從基板延伸的半導體材料的「鰭片」的頂部和多個側壁)。MBC電晶體具有可部分或完全地環繞通道區延伸的閘極結構,以在兩側或更多側上對通道區提供路徑(access)。由於MBC電晶體的閘極結構環繞通道區,因此MBC電晶體也可稱為環繞式閘極電晶體(surrounding gate transistor,SGT)或全繞式閘極(gate-all-around,GAA)電晶體。可由奈米線、奈米片或其他奈米結構來形成MBC電晶體的通道區,且基於上述原因,MBC電晶體也可被稱為奈米線電晶體或奈米片電晶體。
為了形成用於多閘極裝置的源極/汲極部件,凹蝕鰭片(用於FinFET)或鰭片狀結構(用於MBC電晶體)的源極/汲極區。在本文中,源極/汲極區或「s/d區」可指的是裝置的源極或汲極。其也可指的是為多個裝置提供源極及/或汲極的區域。在凹蝕之後,依序形成多個磊晶層在源極/汲極區之上。在一個範例中,多個磊晶層可包括第一磊晶層、第二磊晶層及第三磊晶層。第一磊晶層與凹陷鰭片(或鰭片狀結構)及通道區交界(interface),並以低或適中的摻雜濃度/鍺含量為特徵。低摻雜濃度/鍺含量有助於減少晶格失配及缺陷,但其可能會增加電阻。第二磊晶層包括高摻雜濃度或高鍺含量以降低通道區的接觸電阻或應變(strain)以增加載子遷移率。第三磊晶層由更耐蝕刻的材料所形成,以作為第二磊晶層的保護層。摻雜劑的存在及不同的主動區配置使得源極/汲極接觸件的形成變得更加複雜。具有均一高度的源極/汲極接觸件可能無法可靠地與不同的源極/汲極區形成低電阻連接。
本揭露提供具有不同抬升高度(raise height)的p型及n型源極/汲極部件,使得源極/汲極接觸件可以在p型及n型源極/汲極部件的最小損失及低接觸電阻的情況下形成。
現在將參照圖式以更詳細地描述本揭露的各種態樣。第1圖繪示了根據本揭露的各種態樣的方法100的流程圖,其用於在工件200(繪示於第2圖至第11圖中)上形成半導體結構。方法100僅為範例,且不旨在對本揭露於請求項中明確記載的內容額外限制。可在方法100之前、期間及之後提供額外的操作,且方法的額外實施例可以替換、消除或移動所描繪的一些操作。在下文中,結合第2圖至第11圖對方法100進行描述,其示出了在方法100的中間(intermediate)步驟期間,工件上的半導體結構的各種示意性剖面圖。由於將從工件200形成半導體裝置或半導體結構,因此工件200可根據上下文需要而被稱為半導體裝置200或半導體結構200。在第2圖至第11圖中,一致地使用X方向、Y方向、Z方向,且其彼此垂直。此外,在本揭露中,除非另有說明,否則相似的元件符號用於表示相似的部件。
第2圖至第11圖繪示了FinFET的結構或中間結構。如上所述,FinFET具有包覆半導體鰭片的通道區的閘極結構,所述半導體鰭片由半導體基板或沉積在半導體基板上的磊晶層形成。半導體鰭片的通道區夾在使用磊晶生長所形成的兩個源極/汲極部件之間。由於MBC電晶體的製作包括鰭片狀結構的形成,所屬技術領域中具有通常知識者可理解本揭露所描繪的製程及結構可全部或部分地適用於MBC電晶體。出於這個原因且為了簡潔起見,方法100中的操作將不再單獨詳細描述。
參照第1圖及第2圖,方法100包括方框(block)102,其中工件200包括第一區域10及第二區域20。如第2圖所示,工件200包括基板202。在第一區域10之上,第一鰭片204-1、第二鰭片204-2及第三鰭片204-3從基板202垂直延伸。在第二區域20之上,第四鰭片204-4、第五鰭片204-5及第六鰭片204-6從基板202垂直延伸。第一鰭片204-1、第二鰭片204-2、第三鰭片204-3、第四鰭片204-4、第五鰭片204-5及第六鰭片204-6中的每一個沿著Y方向縱向延伸,且藉由隔離部件206的多個部分沿著X方向彼此間隔。工件200還包括延伸到隔離部件206中的混合(hybrid)鰭片210。
基板202可為元素(單一元素)半導體,諸如晶體結構的矽(Si)或鍺(Ge);化合物半導體,諸如碳化矽(SiC)、砷化鎵(GaAs)、磷化鎵(GaP)、磷化銦(InP)、砷化銦(InAs)及/或銻化銦(InSb);合金半導體,諸如矽鍺(SiGe)、磷砷化鎵(GaAsP)、砷化鋁銦(AlInAs)、砷化鋁鎵(AlGaAs)、砷化鎵銦(GaInAs)、磷化鎵銦(GaInP)及/或砷磷化鎵銦(GaInAsP);非半導體材料,諸如鈉鈣玻璃(soda-lime glass)、熔融二氧化矽(fused silica)、熔融石英(fused quartz)及/或氟化鈣(CaF
2);及/或其組合。在一個實施例中,基板202為矽(Si)基板。基板202的成分可為均一的或可包括多個膜層,可選擇性蝕刻多個膜層中的一些以形成鰭片。這些膜層可具有相似或不同的成分,且在各種實施例中,一些基板膜層具有不均一的成分以誘發裝置應變從而調整裝置性能。分層基板(layered substrates)的範例包括絕緣體上覆矽(silicon-on-insulator,SOI)基板202。在一些此類範例中,基板202的膜層可包括絕緣體,諸如半導體氧化物、半導體氮化物、半導體氮氧化物、半導體碳化物及/或其他合適的絕緣材料。
可形成諸如阱(well)的摻雜區於基板202上。在第2圖所示的實施例中,摻雜n型摻雜劑於基板202的第一區域10中,且摻雜p型摻雜劑於基板202的第二區域20中。n型摻雜劑可包括磷(P)或砷(As)。p型摻雜劑可包括硼(B)、二氟化硼(BF
2)或銦(In)。換言之,設置第一區域10於n型阱上,設置第二區域20於p型阱上。可使用離子佈植(ion implantation)或熱擴散(thermal diffusion)來形成n型阱及p型阱中的摻雜,且n型阱及p型阱可被認為是基板202的一部分。如下文將進一步描述的,第一區域10是p型場效電晶體(p-type field effect transistor,PFET)區,且第二區域20是n型場效電晶體(n-type field effect transistor,NFET)區。
在所描繪的實施例中,由基板202及設置於基板202上的第一半導體層208形成第一鰭片204-1、第二鰭片204-2及第三鰭片204-3。由基板202及設置於基板202上的第二半導體層209形成第四鰭片204-4、第五鰭片204-5及第六鰭片204-6。第一半導體層208包括具有良好電洞遷移率的半導體材料,且第二半導體層209包括具有良好電子遷移率的半導體材料。在圖中所繪示的一些實施例中,第一半導體層208包括矽鍺(SiGe),且第二半導體層209包括矽(Si)。在沉積第一半導體層208於第一區域10之上及沉積第二半導體層209於第二區域20之上以後,對工件200進行微影(photolithography)製程及蝕刻製程以圖案化第一鰭片204-1、第二鰭片204-2、第三鰭片204-3、第四鰭片204-4、第五鰭片204-5及第六鰭片204-6。鰭片中的每一個包括基礎鰭片部分(base fin portion)及頂部鰭片部分(top fin portion)。如第2圖所示,第一鰭片204-1、第二鰭片204-2及第三鰭片204-3中的每一個包括基礎鰭片204B及由第一半導體層208形成的頂部鰭片部分。第四鰭片204-4、第五鰭片204-5及第六鰭片204-6中的每一個包括基礎鰭片204B及由第二半導體層209形成的頂部鰭片部分。在一些情況下,鰭片(204-1至204-6)的圖案化可包括使用雙重圖案化(double-patterning)或多重圖案化(multi-patterning)製程。一般而言,雙重圖案化或多重圖案化製程結合了微影及自對準(self-aligned)製程,其允許創建具有節距的圖案。舉例而言,所述圖案的節距比使用單一且直接的微影製程可獲得的節距更小。
在第2圖所示的實施例中,第二鰭片204-2及第三鰭片204-3聚集在一起(grouped together),而第一鰭片204-1與第二鰭片204-2間隔較遠。類似地,第五鰭片204-5及第六鰭片204-6聚集在一起,而第四鰭片204-4與第五鰭片204-5間隔較遠。如下文中將進一步描述的,第一鰭片204-1及第四鰭片204-4中的每一個將用作為單鰭片(single-fin)裝置中的單鰭片主動區(single-fin active region)。第二鰭片204-2及第三鰭片204-3將用作為第一區域10中的雙鰭片(dual-fin)裝置的雙鰭片主動區(dual-fin active region)。第五鰭片204-5及第六鰭片204-6將用作為第二區域20中的雙鰭片裝置。一般而言,單鰭片裝置適用於低洩漏或低電流的應用,而多鰭片裝置(例如,雙鰭片裝置)適用於高電流或高性能的應用。如第2圖所示,相較於與單鰭片裝置的鰭片之間的間隔,雙鰭片裝置的鰭片可彼此間隔更近。由於蝕刻負載效應(etch loading effect),雙鰭片主動區的兩個鰭片之間的溝槽淺於單個鰭片與雙鰭片主動區之間的溝槽。換言之,雙鰭片主動區的多個基礎鰭片204B可呈現合併(merged)或連接(connected)。舉例而言,基板202的在第二鰭片204-2與第三鰭片204-3之間的部分高於基板202的在第二鰭片204-2與第一鰭片204-1之間的部分。類似地,基板202的在第五鰭片204-5與第六鰭片204-6之間的部分高於基板202的在第四鰭片204-4與第五鰭片204-5之間的部分。
第一鰭片204-1、第二鰭片204-2及第三鰭片
204-3中的每一個包括由第一半導體層208形成的主動部分及由基板202形成的基礎鰭片204B。類似地,第四鰭片204-4、第五鰭片204-5及第六鰭片204-6中的每一個包括由第二半導體層209形成的主動部分及由基板202形成的基礎鰭片204B。第一區域10及第二區域20中的鰭片的主動部分的高度在約30 nm與約80 nm之間。
第一鰭片204-1、第二鰭片204-2、第三鰭片
204-3、第四鰭片204-4、第五鰭片204-5及第六鰭片204-6藉由隔離部件206的多個部分沿著X方向彼此間隔。隔離部件206也可稱為淺溝槽隔離(shallow trench isolation,STI)部件206。在範例製程中,首先沉積用於隔離部件206的介電材料於工件200之上,並用介電材料填充鰭片(204-1至204-6)之間的溝槽。在一些實施例中,介電材料可包括氧化矽、氮氧化矽、摻氟矽酸鹽玻璃(fluorine-doped silicate glass,FSG)、低介電常數(low dielectric constant,low-k,低k)介電質、其組合及/或其他合適的材料。在各種範例中,可藉由化學氣相沉積(chemical vapor deposited,CVD)製程、流動式化學氣相沉積(flowable CVD,FCVD)製程、旋轉塗佈(spin-on coating)及/或其他合適的製程來沉積介電材料。接著,將經沉積的介電材料減薄(thinned)並平坦化(planarized)。舉例而言,藉由化學機械拋光(chemical mechanical polishing,CMP)製程將經沉積的介電材料減薄並平坦化,直到暴露鰭片的頂表面。藉由乾式蝕刻(dry etching)製程、濕式蝕刻(wet etching)製程及/或其組合進一步凹蝕(recessed)或回蝕(etched back)經平坦化的介電材料以形成隔離部件206。在第2圖所示的一些實施例中,第一鰭片204-1、第二鰭片204-2、第三鰭片204-3、第四鰭片204-4、第五鰭片204-5及第六鰭片204-6的主動區的至少一部分抬升(rises)到隔離部件上方,同時保持隔離部件206將基礎鰭片204B覆蓋(covered)或掩埋(buried)在隔離部件206中。在第2圖所示的實施例中,由第一半導體層208形成第一鰭片204-1、第二鰭片204-2、第三鰭片204-3的高於(rise above)隔離部件206的主動部分,且由第二半導體層209形成第四鰭片
204-4、第五鰭片204-5、第六鰭片204-6的高於(rise above)隔離部件206的主動部分。
在第2圖所示的實施例中,形成混合鰭片210以隔離隨後形成的源極/汲極部件。可一起形成混合鰭片210與隔離部件206,且混合鰭片210可包括外層220及內層222。在範例製程中,首先共形地(conformally)沉積用於隔離部件206的介電材料在工件200之上。接著,依序(sequentially)沉積外層220及內層222在工件200之上。在平坦化製程之後,僅選擇性地回蝕用於隔離部件206的介電層以形成隔離部件206。由於選擇性的本質(selective nature),因此回蝕也留下了混合鰭片210。由於用於隔離部件206的介電材料實質上(substantially)填充了第二鰭片204-2與第三鰭片204-3之間的空間及第五鰭片204-5與第六鰭片204-6之間的空間,因此雙鰭片主動區中的鰭片之間沒有形成有混合鰭片210。由於混合鰭片210由介電材料形成,因此混合鰭片210也可稱為介電鰭片210。如第2圖所示,混合鰭片210中的每一個延伸到隔離部件206中,且藉由隔離部件206與基礎鰭片204B或基板202間隔開。
第一鰭片204-1、第二鰭片204-2、第三鰭片
204-3、第四鰭片204-4、第五鰭片204-5及第六鰭片204-6中的每一個包括通道區,所述通道區夾在沿著Y方向的兩個源極/汲極區之間。第2圖繪示了第一鰭片204-1、第二鰭片204-2、第三鰭片204-3、第四鰭片204-4、第五鰭片204-5及第六鰭片204-6的源極/汲極區的局部剖面圖。其表示第一鰭片204-1、第二鰭片204-2、第三鰭片204-3、第四鰭片204-4、第五鰭片204-5及第六鰭片204-6的通道區位在第2圖的平面之外。在第2圖所示的一些實施例中,工件200還包括第一虛設閘極堆疊物(first dummy gate stack)212-1及第二虛設閘極堆疊物212-2。第一虛設閘極堆疊物212-1設置於第一鰭片204-1、第二鰭片204-2及第三鰭片204-3的通道區之上,且第二虛設閘極堆疊物212-2設置於第四鰭片
204-4、第五鰭片204-5及第六鰭片204-6的通道區之上。由於通道區位在第2圖的平面之外,所以第一虛設閘極堆疊物212-1及第二虛設閘極堆疊物212-2以虛線示出。第一虛設閘極堆疊物212-1及第二虛設閘極堆疊物212-2中的每一個包括虛設閘極介電層及虛設閘極電極。虛設閘極介電層直接接觸於第一鰭片204-1、第二鰭片204-2、第三鰭片204-3、第四鰭片204-4、第五鰭片204-5、第六鰭片204-6及混合鰭片210。虛設閘極電極設置於虛設閘極介電層上。在一些實施例中,虛設閘極介電層包括氧化矽,且虛設閘極電極包括多晶矽(polysilicon)。
在第2圖所示的一些實施方式中,沉積閘極間隔物218在工件200之上,工件200包括第一鰭片204-1、第二鰭片204-2、第三鰭片204-3、第四鰭片204-4、第五鰭片204-5、第六鰭片204-6及混合鰭片210。在所描繪的實施例中,閘極間隔物218包括第一間隔層214及第二間隔層216。第一間隔層214及第二間隔層216選自由氧化矽、氮化矽、氮氧化矽、碳氮化矽、氧碳氮化矽(silicon oxycarbonitride)及碳化矽所組成的群組。第一間隔層214的成分不同於第二間隔層216的成分,以導入蝕刻選擇比(etch selectively)。在一個實施例中,第一間隔層214包括碳氮氧化矽或碳氮化矽,而第二間隔層216包括氧化矽或氮化矽。在一些替代實施例中,第一間隔層214可包括具有不同碳含量或氧含量的兩種膜層。
參照第1圖及第3圖,方法100包括方框104,其中第一區域10及第二區域20中的源極/汲極區被凹蝕。如上所述,第2圖及第3圖繪示第一鰭片204-1、第二鰭片204-2、第三鰭片
204-3、第四鰭片204-4、第五鰭片204-5及第六鰭片204-6的源極/汲極區的局部剖面圖。在用第一虛設閘極堆疊物212-1及第二虛設閘極堆疊物212-2覆蓋通道區的情況下,工件200經受諸如反應式離子蝕刻(reactive-ion etching;RIE)的非等向性(anisotropic)蝕刻製程,反應式離子蝕刻包括使用含溴氣體(例如,HBr及/或CHBr
3)、含氟氣體(例如,CF
4、SF
6、NF
3、CH
2F
2、CHF
3及/或C
2F
6)、含碳氣體(例如,CH
4或C
2H
6)、其他合適的氣體或其組合。如第3圖所示,方框104的凹蝕步驟可移除在頂表面上的閘極間隔物218,並降低在源極/汲極區中的第一鰭片204-1、第二鰭片204-2、第三鰭片204-3、第四鰭片204-4、第五鰭片204-5及第六鰭片204-6的主動部分的高度。在所描繪的實施例中,凹蝕源極/汲極區中鰭片的主動部分以形成第一半導體部件2041、第二半導體部件2042、第三半導體部件2043、第四半導體部件2044、第五半導體部件2045、及第六半導體部件2046。第一半導體部件2041、第二半導體部件2042、第三半導體部件2043、第四半導體部件2044、第五半導體部件2045、及第六半導體部件2046中的每一個的厚度在約5 nm與約10 nm之間。設置第一半導體部件2041、第二半導體部件2042、第三半導體部件2043、第四半導體部件2044、第五半導體部件2045及第六半導體部件2046中的每一個於兩個閘極間隔物218之間,且閘極間隔物218現在設置於隔離部件206上。因為方框104中的蝕刻步驟對於半導體材料的蝕刻更快於對於介電質的閘極間隔物218的蝕刻,所以第一半導體部件2041、第二半導體部件2042、第三半導體部件2043、第四半導體部件2044、第五半導體部件2045及第六半導體部件2046的頂表面低於閘極間隔物218的頂表面。
繼續參照第3圖。第一鰭片204-1、第二鰭片
204-2、第三鰭片204-3、第四鰭片204-4、第五鰭片204-5及第六鰭片204-6的高於(raise above)隔離部件206的部分具有鰭片高度T1。鰭片高度T1可在約30 nm與約80 nm之間。此範圍是需要注意的。當高度T1小於30 nm時,相應的鰭片可能不包括足夠的電荷載子且可能影響到裝置性能。當高度T1大於80 nm時,鰭片彎曲的風險可能超過具有高深寬比(high-aspect-ratio)的鰭片的益處。沿著鰭片的側壁的凹陷閘極間隔物218可被稱為鰭片側壁。在所描繪的實施例中,鰭片側壁中的每一個可具有鰭片側壁高度T2,其由隔離部件206的頂表面所測量。鰭片側壁高度T2主要由鰭片與閘極間隔物218之間的蝕刻選擇比決定。在一些實施例中,鰭片側壁高度T2在約5 nm與約20 nm之間。在源極/汲極區中,經凹陷的鰭片具有凹陷鰭片高度T3。在一些實施例中,凹陷鰭片高度T3在約5 nm與約10 nm之間。如上所述,凹陷鰭片高度T3也由鰭片與閘極間隔物218之間的蝕刻選擇比決定。
參照第1圖及第4圖,方法100包括方框106,其中形成源極/汲極部件於第一區域10及第二區域20中的凹陷源極/汲極區之上。在方框106中,形成第一p型源極/汲極部件220-1於第一半導體部件2041之上;形成第二p型源極/汲極部件220-2於第二半導體部件2042及第三半導體部件2043之上;形成第一n型源極/汲極部件222-1於第四半導體部件2044之上;且形成第二n型源極/汲極部件222-2於第五半導體部件2045及第六半導體部件2046之上。要注意的是,第二p型源極/汲極部件220-2是合併的源極/汲極部件,其跨越第二半導體部件2042及第三半導體部件2043。第二n型源極/汲極部件222-2是合併的源極/汲極部件,其跨越第五半導體部件2045及第六半導體部件2046。設置第一p型源極/汲極部件220-1、第二p型源極/汲極部件220-2、第一n型源極/汲極部件222-1及第二n型源極/汲極部件222-2中的每一個於由兩個相鄰混合鰭片210所定義的空間中。可以看到的是,混合鰭片210起到保持相鄰源極/汲極部件彼此分離的作用。設置第一p型源極/汲極部件220-1及第二p型源極/汲極部件220-2於第一區域10之上。設置第一n型源極/汲極部件222-1及第二n型源極/汲極部件222-2於第二區域20之上。
第一區域10中的p型源極/汲極部件及第二區域20中的n型源極/汲極部件具有不同的成分且各自形成。第一區域10中的p型源極/汲極結構可包括矽鍺(SiGe)或其他具有良好電洞遷移率的半導體成分,並摻雜有至少一種p型摻雜劑,諸如硼(B)、二氟化硼(BF
2)或銦(In)。第二區域20中的n型源極/汲極部件可包括矽(Si)或其他具有良好電子遷移率的半導體成分,並摻雜有至少一種n型摻雜劑,諸如磷(P)或砷(As)。在一個範例製程中,首先沉積第一遮罩層以覆蓋第二區域20,並執行磊晶沉積製程以形成p型源極/汲極部件於第一區域10中。接著,移除第一遮罩層。沉積第二遮罩層以覆蓋第一區域10,並執行磊晶沉積製程以形成n型源極/汲極部件於第二區域20中。在另一範例製程中,首先沉積第一遮罩層以覆蓋第一區域10,並執行磊晶沉積製程以形成n型源極/汲極部件於第二區域20中。接著,移除第一遮罩層。沉積第二遮罩層以覆蓋第二區域20,並執行磊晶沉積製程以形成p型源極/汲極部件於第一區域10中。
第一p型源極/汲極部件220-1及第二p型源極/汲極部件220-2中的每一個包括多個磊晶層。在一些實施例中,第一p型源極/汲極部件220-1及第二p型源極/汲極部件220-2中的每一個包括第一過渡(transition)磊晶層TE1、設置於第一過渡磊晶層TE1之上的第一導電磊晶層CE1以及設置於第一導電磊晶層CE1之上的第一保護磊晶層PE1。使用合適的技術沉積第一過渡磊晶層TE1、第一導電磊晶層CE1及第一保護磊晶層PE1,技術諸如氣相磊晶(vapor-phase epitaxy,VPE)、超高真空CVD(ultra-high vacuum CVD,UHV-CVD)、循環沉積及蝕刻(cyclic deposition and etching,CDE)製程、分子束磊晶(molecular beam epitaxy,MBE)及/或其他合適的製程。製程溫度可在約600 ℃及約700 ℃之間。當第一p型源極/汲極部件220-1及第二p型源極/汲極部件220-2包括矽鍺(SiGe)時,磊晶沉積可包括使用矽烷(SiH
4)、二氯矽烷(dichlorosilane,Si
2H
2Cl
2)、鍺烷(GeH
4)及氫(H
2)。可使用例如乙硼烷(B
2H
6)來原位摻雜p型摻雜劑於第一p型源極/汲極部件220-1及第二p型源極/汲極部件220-2中。第一過渡磊晶層TE1包括比第一導電磊晶層CE1更少的鍺(Ge)含量及更少的p型摻雜物以減少晶格不匹配的缺陷。在一些情況下,第一過渡磊晶層TE1包括在15 %與約40 %之間的鍺含量以及在約5x10
18原子/cm
3與約5x10
20原子/cm
3之間的硼濃度。第一導電磊晶層CE1包括高鍺(Ge)含量及高p型摻雜濃度以降低接觸電阻並在通道區上施加應力。在一些情況下,第一導電磊晶層CE1包括在30 %與約60 %之間的鍺含量以及在約1x10
20原子/cm
3與約3x10
21原子/cm
3之間的硼濃度。配置第一保護磊晶層PE1為比第一導電磊晶層CE1更耐蝕刻。在一些情況下,第一保護磊晶層PE1包括在40 %與約55 %之間的鍺含量以及在約1x10
20原子/cm
3與約1x10
21原子/cm
3之間的硼濃度。
第一n型源極/汲極部件222-1及第二n型源極/汲極部件222-2中的每一個包括多個磊晶層。在一些實施例中,第一n型源極/汲極部件222-1及第二n型源極/汲極部件222-2中的每一個包括第二過渡磊晶層TE2、設置於第二過渡磊晶層TE2上的第二導電磊晶層CE2以及設置於第二導電磊晶層CE2上方的第二保護磊晶層PE2。使用合適的技術沉積第二過渡磊晶層TE2、第二導電磊晶層CE2及第二保護磊晶層PE2,技術諸如氣相磊晶(VPE)、超高真空CVD(UHV-CVD)、循環沉積及蝕刻(CDE)製程、分子束磊晶(MBE)及/或其他合適的製程。製程溫度可在約650 ℃與約750 ℃之間。當第一n型源極/汲極部件222-1及第二n型源極/汲極部件222-2包括矽(Si)時,磊晶沉積可包括使用矽烷(SiH
4)、二氯矽烷(Si
2H
2Cl
2)及氫氣(H
2)。可使用例如磷化氫(PH
3)或砷化氫(AsH
3)來原位摻雜n型摻雜劑於第一n型源極/汲極部件222-1及第二n型源極/汲極部件222-2中。第二過渡磊晶層TE2包括比第二導電磊晶層CE2更少的n型摻雜劑以減少晶格不匹配的缺陷。在一些情況下,第二過渡磊晶層TE2包括濃度在約5x10
18原子/cm
3與約5x10
20原子/cm
3之間的磷(P)或砷(As)。第二導電磊晶層CE2包括高n型摻雜濃度以降低接觸電阻。在一些情況下,第二導電磊晶層CE2包括在約1x10
20原子/cm
3與約4x10
21原子/cm
3之間的磷(P)濃度。配置第二保護磊晶層PE2為比第二導電磊晶層CE2更耐蝕刻。在一些情況下,第二保護磊晶層PE2包括在約5x10
20原子/cm
3與約1x10
21原子/cm
3之間的磷(P)濃度。
繼續參照第4圖。第一p型源極/汲極部件220-1比混合鰭片210的頂表面低了第一磊晶高度EH1。第二p型源極/汲極部件220-2比混合鰭片210的頂表面高了第二磊晶高度EH2。第二n型源極/汲極部件222-2比混合鰭片210的頂表面高了第三磊晶高度EH3。第一n型源極/汲極部件222-1比混合鰭片210的頂面高了第四磊晶高度EH4。在一些情況下,第一磊晶高度EH1在約1 nm與約3 nm之間,第二磊晶高度EH2在約5 nm與約10 nm之間,第三磊晶高度EH3在約3 nm與約8 nm之間,且第四磊晶高度EH4在約1 nm與約3 nm之間。根據觀察得知,用於雙鰭片裝置的源極/汲極部件高於用於單鰭片裝置的源極/汲極部件。在一些實施例中,第二p型源極/汲極部件220-2比第一p型源極/汲極部件220-1高了約7 nm至約12 nm。第二n型源極/汲極部件222-2比第一n型源極/汲極部件222-1高了約1 nm至約5 nm。第二p型源極/汲極部件220-2比第二n型源極/汲極部件222-2高了約1 nm至約5 nm。第一n型源極/汲極部件222-1比第一p型源極/汲極部件220-1高了約1 nm至約3 nm。
參照第1圖及第5圖,方法100包括方框108,其中沉積一接觸蝕刻停止層(contact etch stop layer,CESL)224及一第一層間介電質(interlayer dielectric,ILD)層226於源極/汲極部件之上。在方框108中,共形地沉積CESL 224在第一p型源極/汲極部件220-1、第二p型源極/汲極部件220-2、第一n型源極/汲極部件222-1、第二n型源極/汲極部件222-2及混合鰭片210之上。在一些實施例中,可使用CVD或ALD沉積CESL 224,且CESL 224可包括氮化矽或氮氧化矽。在沉積CESL 224之後,沉積第一ILD層226在CESL 224之上。在一些實施方式中,可使用CVD、FCVD、旋轉塗佈或合適的沉積方法來沉積第一ILD層226。第一ILD層226可包括諸如正矽酸乙酯(tetraethylorthosilicate,TEOS)氧化物、未摻雜的矽酸鹽玻璃或經摻雜的氧化矽的材料,經摻雜的氧化矽諸如硼磷矽酸鹽玻璃(borophosphosilicate glass,BPSG)、熔融石英玻璃(fused silica glass,FSG)、磷矽酸鹽玻璃(phosphosilicate glass,PSG)、摻硼矽玻璃(boron doped silicon glass,BSG)及/或其他合適的介電材料。即便未在第5圖中明確繪示出,但對工件200執行諸如化學機械拋光(CMP)製程的平坦化製程,直到第一虛設閘極堆疊物212-1的頂表面、第二虛設閘極堆疊物212-1的頂表面及第一ILD層226的頂表面共平面。
在方框108的操作之後及方框110的操作之前,可對通道區執行額外製程。舉例而言,用功能性金屬閘極結構替換第一虛設閘極疊層(亦即,第一虛設閘極堆疊物212-1)及第二虛設閘極疊層212-2(亦即,第二虛設閘極堆疊物212-2)。金屬閘極結構中的每一個包括在鰭片的通道區上的界面層(interfacial layer)、在界面層之上的閘極介電層及在閘極介電層之上的閘極電極層。在一些實施例中,界面層包括氧化矽,且可作為預清潔(pre-clean)製程的結果而形成。預清潔製程的範例可包括使用RCA SC-1(氨水、過氧化氫及水)及/或RCA SC-2(鹽酸、過氧化氫及水)。預清潔製程使鰭片的通道區的暴露表面氧化。接著,使用ALD、CVD及/或其他合適的方法沉積閘極介電層在界面層之上。閘極介電層可包括高介電常數(high dielectric constant,high-K,高k)介電材料。在本文中,高k介電材料包括具有高介電常數的介電材料。舉例而言,介電常數大於熱氧化矽(thermal silicon oxide)的介電常數(約3.9)。在一個實施例中,閘極介電層可包括氧化鉿(hafnium oxide)。替代地,閘極介電層可包括其他高K介電質,諸如氧化鈦(TiO
2)、氧化鉿鋯(HfZrO)、氧化鉭(Ta
2O
5)、氧化鉿矽(HfSiO
4)、氧化鋯(ZrO
2)、氧化矽鋯(ZrSiO
2)、氧化鑭(La
2O
3)、氧化鋁(Al
2O
3)、氧化鋯(ZrO)、氧化釔(Y
2O
3)、SrTiO
3(STO)、BaTiO
3(BTO)、BaZrO
3、氧化鑭鉿(HfLaO)、氧化鑭矽(LaSiO)、氧化鋁矽(AlSiO)、氧化鉿鉭(HfTaO)、氧化鉿鈦(HfTiO)、(Ba,Sr)TiO
3(BST)、氮化矽(SiN)、氮氧化矽(SiON)、其組合或其他合適的材料。
閘極電極層可為多層結構,其包括至少一功函數層及金屬填充層。舉例而言,至少一功函數層可包括氮化鈦(TiN)、鈦鋁(TiAl)、氮化鈦鋁(TiAlN)、氮化鉭(TaN)、鉭鋁(TaAl)、氮化鉭鋁(TaAlN))、碳化鉭鋁(TaAlC)、碳氮化鉭(TaCN)或碳化鉭(TaC)。金屬填充層可包括鋁(Al)、鎢(W)、鎳(Ni)、鈦(Ti)、釕(Ru)、鈷(Co)、鉑(Pt)、氮化矽鉭(TaSiN)、銅(Cu)、其他難熔金屬或其他合適的金屬材料或其組合。在各種實施例中,可藉由ALD、PVD、CVD、電子束蒸鍍(e-beam evaporation)或其他合適的製程形成閘極電極層。當需要自對準製程時,可在金屬閘極結構上方形成自對準蓋(self-aligned cap,SAC)層。SAC層可包括氮化矽。
參照第1圖及第6圖,方法100包括方框110,其中形成第二ILD層228及硬遮罩層230在第一ILD層226之上。第二ILD層228可在成分及形成製程上相似於第一ILD層226。可使用CVD、FCVD、旋轉塗佈或合適的沉積方法沉積第二ILD層228。第二ILD層228可包括諸如正矽酸乙酯(TEOS)氧化物、未摻雜的矽酸鹽玻璃或經摻雜的氧化矽的材料,經摻雜的氧化矽諸如硼磷矽酸鹽玻璃(BPSG)、熔融石英玻璃(FSG)、磷矽酸鹽玻璃(PSG)、摻硼矽玻璃(BSG),及/或其他合適的介電材料。接著,沉積硬遮罩層230在第二ILD層228之上。硬遮罩層230可包括碳化鎢(WC),且可使用PVD、CVD或ALD沉積硬遮罩層230。
參照第1圖及第7圖,方法100包括方框112,其中形成源極/汲極開口以暴露源極/汲極部件。使用微影製程及蝕刻製程的組合形成穿過第二ILD層228、第一ILD層226及CESL 224的源極/汲極開口。在範例製程中,沉積光阻層在硬遮罩層230之上。接著,將光阻層暴露於穿過光遮罩的圖案化輻射(patterned radiation)或從光遮罩反射的圖案化輻射。在曝光後,使光阻層在烘烤製程中烘烤、在顯影劑溶液中顯影、並接著沖洗,從而形成經圖案化的光阻層。接著,將經圖案化的光阻層作為蝕刻遮罩來蝕刻硬遮罩層230,以形成經圖案化的硬遮罩層230。然後將經圖案化的硬遮罩層230作為蝕刻遮罩來蝕刻第二ILD層228、第一ILD層228、ILD層226及CESL 224。第二ILD層228、第一ILD層226及CESL 224的蝕刻可為乾式蝕刻,乾式蝕刻包括使用氬氣(Ar)、含氟蝕刻劑(例如,SF
6、NF
3、CH
2F
2、CHF
3、C
4F
8及/或C
2F
6)、含氧蝕刻劑、含氯蝕刻劑(例如,Cl
2、CHCl
3、CCl
4及/或BCl
3)、含溴蝕刻劑(例如,HBr及/或CHBr
3)、含碘蝕刻劑或其組合。如第7圖所示,在方框112中形成的源極/汲極開口包括第一p型接觸開口232-1、第二p型接觸開口232-2、第一n型接觸開口234-1、及第二n型接觸開口234-2。
由於不同的蝕刻特性及各種源極/汲極部件的形狀,第一p型接觸開口232-1、第二p型接觸開口232-2、第一n型接觸開口234-1及第二n型接觸開口234-2具有不同的形狀。在所示實施例中,第一p型接觸開口232-1具有第一深度D1,第二p型接觸開口232-2具有第二深度D2,第一n型接觸開口234-1具有第三深度D3,第二n型接觸開口234-2具有第四深度D4。如第7圖所示,由於第二p型源極/汲極部件220-2的高度可大於第一p型源極/汲極部件220-1的高度,故第二深度D2大於第一深度D1。第二深度D2與第一深度D1之間的差異可在約7 nm與約12 nm之間。在第二區域20中沒有看到相同的趨勢。如第7圖所示,由於雙鰭片第二n型源極/汲極部件222-2往往具有下垂(sagging)或凹陷的中間合併部分(recessed middle merge portion),故第四深度D4大於第三深度D3。第二n型接觸開口234-2很可能部分地延伸到凹陷的中間合併部分中,其使第三深度D3增加。第四深度D4與第三深度D3之間的差異可在約1 nm與約5 nm之間。
參照第1圖及第8圖,方法100包括方框114,其中形成底部抗反射塗層(bottom antireflective coating,BARC)236及圖案化光阻層240在工件200之上。如第8圖所示,可使用FCVD或旋轉塗佈沉積BARC層236在工件200之上,以填充第一p型接觸開口232-1、第二p型接觸開口232-2、第一n型接觸開口234-1及第二n型接觸開口234-2。在一些情況下,BARC層236可包括旋轉塗佈碳(spin-on carbon,SOC)或諸如聚矽氮烷樹脂(polysilazane resin)的含矽聚合物。在沉積BARC層236之後,形成圖案化光阻層240在BARC層236之上。圖案化光阻層240包括在第一區域10之上的第一開口242-1及第二開口242-2,且圖案化光阻層240完全覆蓋第二區域20。第一開口242-1直接位於第一p型源極/汲極部件220-1之上。第二開口242-2直接位於第二p型源極/汲極部件220-2之上。
參照第1圖及第9圖,方法100包括方框116,其中形成佈植開口(implantation opening)以暴露第一區域10中的源極/汲極部件。使用圖案化光阻層240作為蝕刻遮罩,對工件200執行蝕刻製程以形成第一佈植開口244-1及第二佈植開口244-2。如第9圖所示,第一佈植開口244-1延伸穿過BARC層236、第二ILD層228、第一ILD層226及CESL 224以暴露第一p型源極/汲極部件220-1。第二佈植開口244-2延伸穿過BARC層236、第二ILD層228、第一ILD層226及CESL 224以暴露第二p型源極/汲極部件220-2。方框116中的蝕刻製程可包括使用氫氣(H
2)、氮氣(N
2)或氨氣(NH
3)的電漿。第一佈植開口244-1及第二佈植開口244-2的形成允許選擇性佈植第一p型源極/汲極部件220-1及第二p型源極/汲極部件220-2。
參照第1圖及第10圖,方法100包括方框118,其中執行佈植製程300。由於第一p型接觸開口232-1及第二p型接觸開口232-2的形成可能不經意地移除了過多的第一p型源極/汲極部件220-1及第二p型源極/汲極部件220-2中的第一導電磊晶層CE1,使得第一p型源極/汲極部件220-1及第二p型源極/汲極部件220-2的暴露部分可能沒有足夠高的摻雜濃度,其可能會導致接觸電阻增加。操作方框118中的佈植製程300,以形成低接觸電阻界面(low contact resistance interface)在第一p型源極/汲極部件220-1及第二p型源極/汲極部件220-2的暴露表面上。佈植製程300可包括預非晶化佈植(preamorphization implantation,PAI)製程及摻雜製程。PAI製程在第一p型源極/汲極部件220-1及第二p型源極/汲極部件220-2暴露於第一佈植開口244-1及第二佈植開口244-2中的部分創建出非晶層。在一些情況下,PAI製程以在約1x10
13與約1x10
14/cm
2之間的劑量下及在約1 KeV與約10 KeV之間的佈植能量下佈植鍺(Ge)。接著,摻雜製程將p型摻雜劑佈植到非晶層中。在所描繪的實施例中,摻雜製程以在約2x10
14與約5x10
15/cm
2之間的劑量下且以在約1 KeV與約5 KeV之間的佈植能量下佈植硼(B)。PAI可降低活化(activate)在第一p型源極/汲極部件220-1及第二p型源極/汲極部件220-2中的p型摻雜劑所需的後續退火溫度。在摻雜過程之後,在約400 ℃與約600 ℃之間的溫度下退火工件200。退火可為快閃熱退火(flash anneal)。佈植製程300及退火形成接觸層246在第一p型源極/汲極部件220-1及第二p型源極/汲極部件220-2上。在退火後,藉由灰化(ashing)或選擇性蝕刻移除圖案化光阻層240及BARC層236。
參照第1圖及11,方法100包括方框120,其中形成源極/汲極接觸件以耦合到源極/汲極部件。方框120的操作可包括濕式清潔(wet cleaning)製程、矽化層的形成、阻障層的形成以及金屬填充層的沉積。為了移除碎屑或殘留的光阻層,可執行濕式清潔製程。濕式清潔製程可包括使用用於移除氧化物的標準清潔物1(standard clean 1)(RCA SC-1,去離子(deionized,DI)水、氫氧化銨及過氧化氫的混合物)、標準清潔物2(RCA SC-2,去離子水、鹽酸及過氧化氫的混合物)、硫酸過氧化物混合物(sulfuric peroxide mixture,SPM)及/或氫氟酸。為了降低接觸電阻,形成第一矽化層247在第一p型源極/汲極部件220-1及第二p型源極/汲極部件220-2上,且形成第二矽化層248在第一n型源極/汲極部件222-1上及第二n型源極/汲極部件222-2上。為了形成第一矽化層247及第二矽化層248,沉積諸如鈦(Ti)的金屬前驅物在第一區域10中的接觸層246之上,及沉積諸如鈦(Ti)的金屬前驅物在第二區域20中的第一n型源極/汲極部件222-1及第二n型源極/汲極部件222-2的暴露表面之上。接著,執行退火製程以產生矽化物(silicidation)(及在第一區域10中的鍺化物(germinidation))在金屬前驅物與暴露的半導體之間。在所描繪的實施例中,鈦可與接觸層246中的矽鍺反應以形成第一矽化層247,且可與第一n型源極/汲極部件222-1及第二n型源極/汲極部件222-2中的矽反應以形成第二矽化層248。在一些實施例中,可用氮或氨來氮化金屬前驅物以形成阻障層249,其中金屬前驅物沉積在源極/汲極接觸開口的側壁上(在第11圖中,其填充有第一p型接觸件250-1、第二p型接觸件250-2、第一n型接觸件252-1及第二n型接觸件252-2)。在一些替代實施例中,選擇性地移除未反應的金屬前驅物並重新形成阻障層249。阻障層249可包括氮化鈦(TiN)、氮化鉭(TaN)、氮化鈷(CoN)、氮化鎳(NiN)、氮化錳(MnN)、氮化鎢(WN)或其他過渡金屬氮化物。在一個實施例中,阻障層249包括氮化鈦(TiN)。
在形成矽化層(例如,247及248)及阻障層249之後,沉積金屬填充層在他們之上以形成第一p型接觸件250-1、第二p型接觸件250-2、第一n型接觸件252-1及第二n型接觸件
252-2。在一些實施例中,金屬填充層可包括鈷(Co)、釕(Ru)、鎳(Ni)或鎢(W)。在一個實施例中,金屬填充層包括鈷(Co)。在沉積金屬填充層之後,執行諸如CMP製程的平坦化製程以移除多餘的材料。此時,第一p型接觸件250-1、第二p型接觸件250-2、第一n型接觸件252-1及第二n型接觸件252-2的頂表面共平面。
繼續參照第11圖。第一p型接觸件250-1具有第一高度H1(包括第一矽化層247),第二p型接觸件250-2具有第二高度H2(包括第一矽化層247),第一n型接觸件252-1具有第三高度H3(包括第二矽化層248),且第二n型接觸件252-2具有第四高度H4(包括第二矽化層248)。第一高度H1、第二高度H2、第三高度H3及第四高度H4遵循上文中在第一深度D1、第二深度D2、第三深度D3及第四深度D4之間觀察到的趨勢。第一高度H1比第二高度H2高了約5 nm與約10 nm之間。第四高度H4比第三高度H3高了約1 nm與約5 nm之間。
從混合鰭片210的頂表面的水平(level)向下測量,第一p型接觸件250-1具有第一觸及深度(reach depth)R1,第二p型接觸件250-2具有第二觸及深度R1,第一n型接觸件252-1具有第三觸及深度R3,且第二n型接觸件252-2具有第四觸及深度R4。在一些實施例中,第一觸及深度R1可在約15 nm與約20 nm之間,第二觸及深度R2可在約10 nm與約15 nm之間,第三觸及深度R3可在約1 nm與約5 nm之間,且第四觸及深度R4可在約5 nm與約10 nm之間。這些觸及深度範圍是需要注意的。當第一觸及深度R1小於15 nm、第二觸及深度R2小於10 nm、第三觸及深度R3小於1 nm、及第四觸及深度R4小於5 nm時,其所對應的接觸件無法落在第一區域10中摻雜最重的第一導電磊晶層CE1或第二區域20中摻雜最重的第二導電磊晶層上。當第一觸及深度R1大於20 nm時、第二觸及深度R2大於15 nm、第三觸及深度R3大於約5 nm、及第四觸及深度R4大於10 nm時,其所對應的接觸件可能穿透第一區域10中摻雜最重的第一導電磊晶層CE1或第二區域20中摻雜最重的第二導電磊晶層,從而導致摻雜劑的損失。此外,當第一觸及深度R1大於20 nm、第二觸及深度R2大於15 nm、第三觸及深度R3大於約5 nm、及第四觸及深度R4大於10 nm時,其所對應的接觸件可能重疊於通道區,從而導致相應裝置中的短通道效應。
本揭露提供了許多不同的實施例。在一個實施例中,提供了一種半導體結構。半導體結構包括第一p型磊晶部件、第二p型磊晶部件、層間介電層、第一接觸件及第二接觸件。第一p型磊晶部件設置於第一鰭片之上。第二p型磊晶部件設置於第二鰭片及第三鰭片之上,並跨越第二鰭片及第三鰭片。層間介電層在第一p型磊晶部件及第二p型磊晶部件之上。第一接觸件延伸穿過層間介電層以電性耦合第一p型磊晶部件。第二接觸件延伸穿過層間介電層以電性耦合第二p型磊晶部件。第一接觸件的底表面低於第二接觸件的底表面。
在一些實施例中,半導體結構更包括第一n型磊晶部件、第二n型磊晶部件、第三接觸件及第四接觸件。第一n型磊晶部件設置於第四鰭片之上。第二n型磊晶部件設置於第五鰭片及第六鰭片之上,並跨越第五鰭片及第六鰭片,其中層間介電層位在第一n型磊晶部件及第二n型磊晶部件之上。第三接觸件延伸穿過層間介電層以電性耦合第一n型磊晶部件。第四接觸件延伸穿過層間介電層以電性耦合第二n型磊晶部件。其中第四接觸件的底表面低於第三接觸件的底表面。在一些實施例中,第二p型磊晶部件包括第一p型磊晶層及在第一p型磊晶層之上的第二p型磊晶層。第一p型磊晶層及第二p型磊晶層包括p型摻雜劑。在第二p型磊晶層中的p型摻雜劑的濃度大於在第一p型磊晶層中的p型摻雜劑的濃度。在一些實施例中,第二接觸件落在(land in)第二p型磊晶層中。在一些實施例中,第二n型磊晶部件包括第一n型磊晶層及在第n型磊晶層之上的一第二n型磊晶層。第一n型磊晶層及第二n型磊晶層包括一n型摻雜劑。在第二n型磊晶層中的n型摻雜劑的濃度大於在第一n型磊晶層中的n型摻雜劑的濃度。在一些實施例中,第四接觸件落在第二n型磊晶層中。在一些實施例中,第一接觸件、第二接觸件、第三接觸件及第四接觸件的頂表面共平面,其中第一接觸件的高度大於第二接觸件的高度。在一些實施例中,第四接觸件的高度大於第三接觸件的高度。
在另一個實施例中,提供了一種半導體結構。半導體結構包括第一基礎鰭片、第二基礎鰭片、第三基礎鰭片、第一半導體層、第二半導體層、第三半導體層、第一p型源極/汲極部件、第二p型源極/汲極部件、層間介電層、第一接觸件及第二接觸件。第一基礎鰭片、第二基礎鰭片及第三基礎鰭片位在基板之上。第一半導體層在第一基礎鰭片之上。第二半導體層在第二基礎鰭片之上。第三半導體層在第三基礎鰭片之上。第一p型源極/汲極部件在第一半導體層之上。第二p型源極/汲極部件設置於第二半導體層及第三半導體層之上,並跨越第二半導體層及第三半導體層。層間介電層在第一p型源極/汲極部件及第二p型源極/汲極部件之上。第一接觸件延伸穿過層間介電層以電性耦合第一p型源極/汲極部件。第二接觸件延伸穿過層間介電層以電性耦合第二p型源極/汲極部件。其中第一接觸件的底表面低於第二接觸件的底表面。
在一些實施例中,第一基礎鰭片、第二基礎鰭片及第三基礎鰭片包括矽及n型摻雜劑。在一些實施例中,第一半導體層、第二半導體層及第三半導體層包括矽鍺。在一些實施例中,半導體結構更包括隔離部件。第一基礎鰭片、第二基礎鰭片及第三基礎鰭片設置於隔離部件中。在一些實施例中,半導體結構更包括至少一閘極間隔部件。至少一閘極間隔部件沿著第一半導體層、第二半導體層及第三半導體層的側壁設置,其中至少一閘極間隔部件設置於隔離部件的頂表面之上。在一些實施例中,半導體結構更包括介電鰭片。介電鰭片設置於第一p型源極/汲極部件與第二p型源極/汲極部件之間,其中介電鰭片延伸到隔離部件中。
在又一個實施例中,提供了一種半導體結構。半導體結構包括第一基礎鰭片、第二基礎鰭片、第三基礎鰭片、第一半導體層、第二半導體層、第三半導體層、第一n型源極/汲極部件、第二n型源極/汲極部件、層間介電層、第一接觸件及第二接觸件。第一基礎鰭片、第二基礎鰭片及第三基礎鰭片位在基板之上。第一半導體層在第一基礎鰭片之上。第二半導體層在第二基礎鰭片之上。第三半導體層在第三基礎鰭片之上。第一n型源極/汲極部件在第一半導體層之上。第二n型源極/汲極部件設置於第二半導體層及第三半導體層之上,並跨越第二半導體層及第三半導體層。層間介電層在第一n型源極/汲極部件及第二n型源極/汲極部件之上。第一接觸件延伸穿過層間介電層以電性耦合第一n型源極/汲極部件。第二接觸件延伸穿過層間介電層以電性耦合第二n型源極/汲極部件。其中第二接觸件的底表面低於第一接觸件的底表面。
在一些實施例中,第一基礎鰭片、第二基礎鰭片及第三基礎鰭片包括矽及p型摻雜劑。在一些實施例中,第一半導體層、第二半導體層與第三半導體層包括矽(Si)。在一些實施例中,半導體結構更包括隔離部件。第一基礎鰭片、第二基礎鰭片及第三基礎鰭片設置於隔離部件中。在一些實施例中,半導體結構更包括至少一閘極間隔部件。至少一閘極間隔部件沿著第一半導體層、第二半導體層及第三半導體層的側壁設置。至少一閘極間隔部件設置於隔離部件的頂表面之上。在一些實施例中,半導體結構更包括介電鰭片。介電鰭片設置於第一p型源極/汲極部件與第二p型源極/汲極部件之間,其中介電鰭片延伸到隔離部件中。
以上概述數個實施例之部件,以便在本發明所屬技術領域中具有通常知識者可更易理解本發明實施例的觀點。在本發明所屬技術領域中具有通常知識者應理解,他們能以本發明實施例為基礎,設計或修改其他製程及結構,以達到與在此介紹的實施例相同之目的及∕或優勢。在本發明所屬技術領域中具有通常知識者也應理解到,此類等效的製程及結構並無悖離本發明的精神與範圍,且他們能在不違背本發明之精神及範圍之下,做各式各樣的改變、取代及替換。
10, 20:區域
100:方法
102~120:方框
200:工件
202:基板
2041~2046:半導體部件
204-1~204-6, 210:鰭片
204B:基礎鰭片
206:隔離部件
208, 209:半導體層
212-1, 212-2:虛設閘極堆疊物
214, 216:間隔層
218:閘極間隔物
220:外層
220-1, 220-2:p型源極/汲極部件
222:內層
222-1, 222-2:n型源極/汲極部件
224:接觸蝕刻停止層
226:層間介電質層
228:ILD層
230:硬遮罩層
232-1, 232-2:p型接觸開口
234-1, 234-2:n型接觸開口
236:底部抗反射塗佈層
240:圖案化光阻層
242-1, 242-2:開口
244-1, 244-2:佈植開口
246:接觸層
247, 248:矽化層
249:阻障層
250-1, 250-2:p型接觸件
252-1, 252-2:n型接觸件
300:佈植製程
CE1, CE2:導電磊晶層
D1~D4:深度
EH1~EH4:磊晶高度
H1~H4:高度
PE1, PE2:保護磊晶層
R1~R4:觸及深度
T1~T3:高度
TE1, TE2:過渡磊晶層
以下將配合所附圖式詳述本發明實施例。應注意的是,依據在業界的標準做法,各種部件並未按照比例繪製且僅用以說明例示。事實上,可任意地放大或縮小元件的尺寸,以清楚地表現出本發明實施例的部件。
第1圖是根據本揭露的各種實施例,示出半導體結構的製造方法的流程圖。
第2圖至第11圖是根據本揭露的各種實施例,示出在製造過程中的各個階段的工件的第一區域及第二區域的示意性剖面圖。
10,20:區域
200:工件
202:基板
204B:基礎鰭片
206:隔離部件
210:鰭片
220:外層
220-1,220-2:p型源極/汲極部件
222:內層
222-1,222-2:n型源極/汲極部件
226:層間介電質層
247,248:矽化層
249:阻障層
250-1,250-2:p型接觸件
252-1,252-2:n型接觸件
H1~H4:高度
R1~R4:觸及深度
Claims (20)
- 一種半導體結構,包括: 一第一p型磊晶部件,設置於一第一鰭片之上; 一第二p型磊晶部件,設置於一第二鰭片及一第三鰭片之上,並跨越該第二鰭片及該第三鰭片; 一層間介電(interlayer dielectric,ILD)層,在該第一p型磊晶部件及該第二p型磊晶部件之上; 一第一接觸件,延伸穿過該層間介電層以電性耦合該第一p型磊晶部件;以及 一第二接觸件,延伸穿過該層間介電層以電性耦合該第二p型磊晶部件, 其中該第一接觸件的底表面低於該第二接觸件的底表面。
- 如請求項1所述之半導體結構,更包括: 一第一n型磊晶部件,設置於一第四鰭片之上; 一第二n型磊晶部件,設置於一第五鰭片及一第六鰭片之上,並跨越該第五鰭片及該第六鰭片,其中該層間介電層位在該第一n型磊晶部件及該第二n型磊晶部件之上; 一第三接觸件,延伸穿過該層間介電層以電性耦合該第一n型磊晶部件;以及 一第四接觸件,延伸穿過該層間介電層以電性耦合該第二n型磊晶部件, 其中該第四接觸件的底表面低於該第三接觸件的底表面。
- 如請求項1所述之半導體結構, 其中該第二p型磊晶部件包括一第一p型磊晶層及在該第一p型磊晶層之上的一第二p型磊晶層, 其中該第一p型磊晶層及該第二p型磊晶層包括一p型摻雜劑, 其中在該第二p型磊晶層中的該p型摻雜劑的濃度大於在該第一p型磊晶層中的該p型摻雜劑的濃度。
- 如請求項3所述之半導體結構,其中該第二接觸件落在(land in)該第二p型磊晶層中。
- 如請求項2所述之半導體結構, 其中該第二n型磊晶部件包括一第一n型磊晶層及在該第一n型磊晶層之上的一第二n型磊晶層, 其中該第一n型磊晶層及該第二n型磊晶層包括一n型摻雜劑, 其中在該第二n型磊晶層中的該n型摻雜劑的濃度大於在該第一n型磊晶層中的該n型摻雜劑的濃度。
- 如請求項5所述之半導體結構,其中該第四接觸件落在該第二n型磊晶層中。
- 如請求項2所述之半導體結構, 其中該第一接觸件、該第二接觸件、該第三接觸件及該第四接觸件的頂表面共平面(coplanar), 其中該第一接觸件的高度大於該第二接觸件的高度。
- 如請求項7所述之半導體結構,其中該第四接觸件的高度大於該第三接觸件的高度。
- 一種半導體結構,包括: 一第一基礎鰭片(base fin)、一第二基礎鰭片及一第三基礎鰭片,位在一基板之上; 一第一半導體層,在該第一基礎鰭片之上; 一第二半導體層,在該第二基礎鰭片之上; 一第三半導體層,在該第三基礎鰭片之上; 一第一p型源極/汲極部件,在該第一半導體層之上; 一第二p型源極/汲極部件,設置於該第二半導體層及該第三半導體層之上,並跨越該第二半導體層及該第三半導體層; 一層間介電層,在該第一p型源極/汲極部件及該第二p型源極/汲極部件之上; 一第一接觸件,延伸穿過該層間介電層以電性耦合該第一p型源極/汲極部件;以及 一第二接觸件,延伸穿過該層間介電層以電性耦合該第二p型源極/汲極部件, 其中該第一接觸件的底表面低於該第二接觸件的底表面。
- 如請求項9所述之半導體結構,其中該第一基礎鰭片、該第二基礎鰭片及該第三基礎鰭片包括矽及n型摻雜劑。
- 如請求項9所述之半導體結構,其中該第一半導體層、該第二半導體層及該第三半導體層包括矽鍺(SiGe)。
- 如請求項9所述之半導體結構,更包括: 一隔離部件, 其中該第一基礎鰭片、該第二基礎鰭片及該第三基礎鰭片設置於該隔離部件中。
- 如請求項12所述之半導體結構,更包括: 至少一閘極間隔部件,沿著該第一半導體層、該第二半導體層及該第三半導體層的側壁設置, 其中該至少一閘極間隔部件設置於該隔離部件的頂表面之上。
- 如請求項12所述之半導體結構,更包括: 一介電鰭片,設置於該第一p型源極/汲極部件與該第二p型源極/汲極部件之間, 其中該介電鰭片延伸到該隔離部件中。
- 一種半導體結構,包括: 一第一基礎鰭片、一第二基礎鰭片及一第三基礎鰭片,位在一基板之上; 一第一半導體層,在該第一基礎鰭片之上; 一第二半導體層,在該第二基礎鰭片之上; 一第三半導體層,在該第三基礎鰭片之上; 一第一n型源極/汲極部件,在該第一半導體層之上; 一第二n型源極/汲極部件,設置於該第二半導體層及該第三半導體層之上,並跨越該第二半導體層及該第三半導體層; 一層間介電層,在該第一n型源極/汲極部件及該第二n型源極/汲極部件之上; 一第一接觸件,延伸穿過該層間介電層以電性耦合該第一n型源極/汲極部件;以及 一第二接觸件,延伸穿過該層間介電層以電性耦合該第二n型源極/汲極部件, 其中該第二接觸件的底表面低於該第一接觸件的底表面。
- 根據請求項15所述的半導體結構,其中該第一基礎鰭片、該第二基礎鰭片及該第三基礎鰭片包括矽及p型摻雜劑。
- 如請求項15所述之半導體結構,其中該第一半導體層、該第二半導體層與該第三半導體層包括矽(Si)。
- 如請求項15所述之半導體結構,更包括: 一隔離部件, 其中該第一基礎鰭片、該第二基礎鰭片及該第三基礎鰭片設置於隔離部件中。
- 如請求項18所述之半導體結構,更包括: 至少一閘極間隔部件,沿著該第一半導體層、該第二半導體層及該第三半導體層的側壁設置, 其中該至少一閘極間隔部件設置於該隔離部件的頂表面之上。
- 如請求項18所述之半導體結構,更包括: 一介電鰭片,設置於該第一p型源極/汲極部件與該第二p型源極/汲極部件之間,其中該介電鰭片延伸到該隔離部件中。
Applications Claiming Priority (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US17/830,938 | 2022-06-02 |
Publications (1)
Publication Number | Publication Date |
---|---|
TW202412262A true TW202412262A (zh) | 2024-03-16 |
Family
ID=
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US11139211B2 (en) | Selective NFET/PFET recess of source/drain regions | |
US11037826B2 (en) | Semiconductor device having merged epitaxial features with arc-like bottom surface and method of making the same | |
TWI786608B (zh) | 半導體裝置及其製造方法 | |
TWI768834B (zh) | 半導體裝置及其製造方法 | |
US10937876B2 (en) | Source/drain feature to contact interfaces | |
TW202127524A (zh) | 半導體裝置的製造方法 | |
TWI792456B (zh) | 半導體裝置及其形成方法 | |
KR102548662B1 (ko) | 게이트 스페이서 구조를 갖는 전계-효과 트랜지스터 디바이스 | |
US20220367482A1 (en) | Source/Drain Feature Separation Structure | |
US11984478B2 (en) | Forming source and drain features in semiconductor devices | |
US20230378352A1 (en) | Semiconductor device having nanosheet transistor and methods of fabrication thereof | |
US20240021465A1 (en) | Semiconductor structure having epitaxial structure | |
TW202147452A (zh) | 半導體裝置及其形成方法 | |
KR20230109536A (ko) | 반도체 디바이스를 제조하는 방법 및 반도체 디바이스 | |
CN220510030U (zh) | 半导体结构 | |
TW202412262A (zh) | 半導體結構 | |
US20230163186A1 (en) | Epitaxial features in semiconductor devices and manufacturing method of the same | |
US11942479B2 (en) | Semiconductor device and manufacturing method thereof | |
US20240021686A1 (en) | Source/Drain Contacts And Methods For Forming The Same | |
TWI832404B (zh) | 半導體結構及其形成方法 | |
US20230187518A1 (en) | Semiconductor Device With Tunable Channel Layer Usage And Methods Of Fabrication Thereof | |
US20240063293A1 (en) | Semiconductor device having nanosheet transistor and methods of fabrication thereof | |
KR20240007620A (ko) | 게이트 높이 변화에 의한 임계 전압 변조 | |
CN116978935A (zh) | 半导体结构及其形成方法 |