TWI733463B - 光阻劑圖案修整組成物及圖案形成方法 - Google Patents

光阻劑圖案修整組成物及圖案形成方法 Download PDF

Info

Publication number
TWI733463B
TWI733463B TW109117169A TW109117169A TWI733463B TW I733463 B TWI733463 B TW I733463B TW 109117169 A TW109117169 A TW 109117169A TW 109117169 A TW109117169 A TW 109117169A TW I733463 B TWI733463 B TW I733463B
Authority
TW
Taiwan
Prior art keywords
polymer
pattern
photoresist pattern
photoresist
group
Prior art date
Application number
TW109117169A
Other languages
English (en)
Other versions
TW202046014A (zh
Inventor
侯希森
艾維戴爾 考爾
聰 劉
李明琦
凱文 羅威爾
承柏 徐
Original Assignee
美商羅門哈斯電子材料有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商羅門哈斯電子材料有限公司 filed Critical 美商羅門哈斯電子材料有限公司
Publication of TW202046014A publication Critical patent/TW202046014A/zh
Application granted granted Critical
Publication of TWI733463B publication Critical patent/TWI733463B/zh

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F212/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and at least one being terminated by an aromatic carbocyclic ring
    • C08F212/02Monomers containing only one unsaturated aliphatic radical
    • C08F212/04Monomers containing only one unsaturated aliphatic radical containing one ring
    • C08F212/06Hydrocarbons
    • C08F212/12Monomers containing a branched unsaturated aliphatic radical or a ring substituted by an alkyl radical
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F212/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and at least one being terminated by an aromatic carbocyclic ring
    • C08F212/02Monomers containing only one unsaturated aliphatic radical
    • C08F212/04Monomers containing only one unsaturated aliphatic radical containing one ring
    • C08F212/06Hydrocarbons
    • C08F212/08Styrene
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F212/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and at least one being terminated by an aromatic carbocyclic ring
    • C08F212/02Monomers containing only one unsaturated aliphatic radical
    • C08F212/04Monomers containing only one unsaturated aliphatic radical containing one ring
    • C08F212/14Monomers containing only one unsaturated aliphatic radical containing one ring substituted by heteroatoms or groups containing heteroatoms
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F212/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and at least one being terminated by an aromatic carbocyclic ring
    • C08F212/02Monomers containing only one unsaturated aliphatic radical
    • C08F212/04Monomers containing only one unsaturated aliphatic radical containing one ring
    • C08F212/14Monomers containing only one unsaturated aliphatic radical containing one ring substituted by heteroatoms or groups containing heteroatoms
    • C08F212/16Halogens
    • C08F212/20Fluorine
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F212/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and at least one being terminated by an aromatic carbocyclic ring
    • C08F212/02Monomers containing only one unsaturated aliphatic radical
    • C08F212/04Monomers containing only one unsaturated aliphatic radical containing one ring
    • C08F212/14Monomers containing only one unsaturated aliphatic radical containing one ring substituted by heteroatoms or groups containing heteroatoms
    • C08F212/22Oxygen
    • C08F212/24Phenols or alcohols
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F220/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical or a salt, anhydride ester, amide, imide or nitrile thereof
    • C08F220/02Monocarboxylic acids having less than ten carbon atoms; Derivatives thereof
    • C08F220/04Acids; Metal salts or ammonium salts thereof
    • C08F220/06Acrylic acid; Methacrylic acid; Metal salts or ammonium salts thereof
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F220/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical or a salt, anhydride ester, amide, imide or nitrile thereof
    • C08F220/02Monocarboxylic acids having less than ten carbon atoms; Derivatives thereof
    • C08F220/10Esters
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F220/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical or a salt, anhydride ester, amide, imide or nitrile thereof
    • C08F220/02Monocarboxylic acids having less than ten carbon atoms; Derivatives thereof
    • C08F220/10Esters
    • C08F220/12Esters of monohydric alcohols or phenols
    • C08F220/16Esters of monohydric alcohols or phenols of phenols or of alcohols containing two or more carbon atoms
    • C08F220/18Esters of monohydric alcohols or phenols of phenols or of alcohols containing two or more carbon atoms with acrylic or methacrylic acids
    • C08F220/1803C3-(meth)acrylate, e.g. (iso)propyl (meth)acrylate
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D125/00Coating compositions based on homopolymers or copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and at least one being terminated by an aromatic carbocyclic ring; Coating compositions based on derivatives of such polymers
    • C09D125/18Homopolymers or copolymers of aromatic monomers containing elements other than carbon and hydrogen
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • G03F7/405Treatment with inorganic or organometallic reagents after imagewise removal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials

Landscapes

  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Polymers & Plastics (AREA)
  • Medicinal Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Emergency Medicine (AREA)
  • Structural Engineering (AREA)
  • Architecture (AREA)
  • Wood Science & Technology (AREA)
  • Materials Engineering (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Materials For Photolithography (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Addition Polymer Or Copolymer, Post-Treatments, Or Chemical Modifications (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

光阻劑圖案修整組成物包含聚合物、芳香族磺酸和基於有機物的溶劑系統,其中該聚合物包含具有通式 (I) 和 (II) 之聚合單元:
Figure 109117169-A0101-11-0001-1
其中:X獨立地表示鹵素原子;Q表示單鍵、-O-或-C(O)O-;R1 獨立地表示氫、鹵素原子、C1-C12烷基或C1-C12氟烷基;R2 表示C1-C3烷基或C1-C3氟烷基;並且m係0至4的整數;並且其中基於該聚合物的總聚合單元,具有通式 (I) 之聚合單元以10至90 mol%的量存在於該聚合物中,並且具有通式 (II) 之聚合單元以10至60 mol%的量存在於該聚合物中。該光阻劑圖案修整組成物及其在圖案形成方法中的使用特別適用於半導體裝置的製造。

Description

光阻劑圖案修整組成物及圖案形成方法
本發明總體上關於電子裝置的製造。更具體地,本發明關於光阻劑圖案修整組成物並且關於使用此類組成物的圖案形成方法。該組成物和方法特別用於形成精細光刻圖案。
在半導體製造工業中,光阻劑材料用於將圖像轉移到佈置在半導體基底上的一個或多個下層,如金屬、半導體或介電層,以及該基底本身。為了增加半導體裝置的集成密度並且允許形成具有在奈米範圍內的尺寸的結構,已經並且繼續開發具有高解析度能力的光阻劑和光刻處理工具。
正化學增強的光阻劑通常用於高解析度處理。此類抗蝕劑典型地使用具有酸不穩定脫離基的樹脂和光酸產生劑。通過光掩模進行圖案化暴露至活化輻射使酸產生劑形成酸,在暴露後烘烤期間,該酸使在樹脂的暴露區域中的酸不穩定基團斷裂。這在鹼性顯影劑水溶液中抗蝕劑的曝光與未曝光區域之間產生了溶解度特性之差異。在正性顯影(PTD)過程中,抗蝕劑的曝光區域可溶於水性鹼性顯影劑並且從基底表面除去,而不溶於該顯影劑的未曝光區域在顯影後保留以形成正像。
光刻縮放通常藉由增加光學曝光工具的數值孔徑和使用較短的曝光波長來實現。為了形成比僅藉由直接成像可獲得的更精細的光阻劑圖案,例如在美國專利申請公開案號US 20130171574 A1、US 20130171825 A1、US 2014/0186772 A1和US 2016/0187783 A1中已經提出了光阻劑圖案修整製程。光阻劑圖案修整製程典型地涉及使包含具有酸不穩定基團的聚合物的光阻劑圖案與含有酸或熱酸產生劑的組成物接觸。酸或產生的酸使抗蝕劑圖案的表面區域去保護,然後例如藉由與顯影劑溶液接觸除去該表面區域。這允許修整光阻劑圖案,使得例如產生與當僅使用直接成像時相比,更精細的抗蝕劑圖案。然而,隨著圖案尺寸和裝置幾何形狀的減少,修整製程考慮,如圖案塌陷裕度、缺陷水平和圖案尺寸減少的量,由於其對所得電子裝置的性能和/或產率的影響而變得越來越重要。
與常規的ArF(193 nm)光阻劑材料相比,其典型地含有(甲基)丙烯酸酯聚合物並且由於芳香族基團在曝光波長下的高吸收基本上不含該芳香族基團,KrF(248 nm)和EUV(13.4或13.5 nm)光阻劑材料典型地包括乙烯基芳香族基聚合物,如苯乙烯和/或聚羥基苯乙烯,該材料的益處通常包括高耐蝕刻性、蝕刻選擇性和靈敏度以及低成本。考慮到ArF對比KrF和EUV光阻劑組成物的聚合物化學性質顯著不同,設計用於ArF光阻劑圖案的圖案修整組成物可能與KrF和EUV光阻劑圖案不相容。此種不相容可以例如以如由洗掉抗蝕劑圖案的一部分引起的嚴重的圖案損壞展現出。因此,將希望具有用於KrF和EUV光刻的改善的圖案修整組成物。
本領域需要用於電子裝置製造的光阻劑圖案修整組成物和圖案形成方法,其解決與先前技術相關的一個或多個問題。
根據本發明之第一方面,提供了圖案修整組成物。該組成物包含聚合物、芳香族磺酸和基於有機物的溶劑系統,其中該聚合物包含具有通式 (I) 和 (II) 之聚合單元:
Figure 02_image001
其中:X獨立地表示鹵素原子;Q表示單鍵、-O-或-C(O)O-;R1 獨立地表示氫、鹵素原子、C1-C12烷基或C1-C12氟烷基,其中該烷基或氟烷基係未取代的或被羥基取代的;R2 表示氫、C1-C3烷基或C1-C3氟烷基;並且m係0至4的整數;並且其中基於該聚合物的總聚合單元,具有通式 (I) 之聚合單元以10至90 mol%的量存在於該聚合物中,並且具有通式 (II) 之聚合單元以10至60 mol%的量存在於該聚合物中。
還提供了圖案形成方法。該方法包括:(a) 提供半導體基底;(b) 在該半導體基底上形成光阻劑圖案,其中該光阻劑圖案由包括包含酸不穩定基團的聚合物和光酸產生劑的光阻劑組成物形成;(c) 將如本文描述之圖案修整組成物塗覆在該光阻劑圖案上;(d) 加熱經塗覆的光阻劑圖案;以及 (e) 用沖洗劑沖洗經加熱的光阻劑圖案以除去殘留的圖案處理組成物。本發明之較佳的方法組成物可以提供具有改善的特徵之光阻劑圖案,例如臨界尺寸變化(ΔCD)、圖案塌陷裕度(PCM)或塗層缺陷率中的一個或多個。
本文使用的術語僅用於描述具體實施方式的目的,而不旨在限制本發明。除非上下文另有指示,否則單數形式「一個/一種」(a/an)和「該」(the)旨在包括單數和複數形式。如本文使用,術語「取代的」係指其氫原子中的一個或多個被選自羥基、鹵素、C1 -20 烷基、C1 -20 烷氧基、C6 -20 芳基或C4 -20 雜芳基的一個或多個取代基替代的基團。
光阻劑圖案修整組成物 本發明之光阻劑圖案修整組成物包含聚合物、芳香族磺酸和基於有機物的溶劑,並且可以包含一種或多種視需要的額外組分。該聚合物允許組成物以具有所希望厚度的層的形式塗覆在光阻劑圖案上。該聚合物應該在待用於圖案化過程的沖洗劑中具有良好溶解度。例如,該聚合物可以溶於鹼性水溶液,如典型地用作光阻劑顯影劑的那些,較佳的是氫氧化季銨水溶液,如水性四甲基氫氧化銨(TMAH)(典型地0.26 N TMAH)。為了使源自圖案修整組成物的殘留缺陷最小化,該修整組成物的乾燥層在待施加的沖洗劑中的溶解速率應該大於光阻劑圖案在該沖洗劑中的溶解速率。聚合物典型地展現出在沖洗劑(較佳的是0.26 N TMAH溶液)中的100 Å/秒或更高、較佳的是1000 Å/秒或更高的溶解速率。聚合物應該可溶於本文描述之修整組成物的溶劑中。
圖案修整組成物聚合物包含具有通式 (I) 和 (II) 之聚合單元:
Figure 02_image001
其中:X獨立地表示鹵素原子,典型地氟或氯;Q表示單鍵、-O-或-C(O)O-;R1 獨立地表示氫、鹵素原子、C1-C12烷基(典型地C1-C5烷基)或C1-C12氟烷基(典型地C1-C5氟烷基),其中該烷基或氟烷基可為直鏈、支鏈或環狀的,並且可為未取代的或被羥基取代的;R2 表示氫、C1-C3烷基或C1-C3氟烷基,其中氫或甲基係典型的;並且m係0至4的整數。具有通式 (I) 之聚合單元以10至90 mol%、典型地30至70 mol%的量存在於聚合物中。基於該聚合物的總聚合單元,具有通式 (II) 之聚合單元以10至60 mol%、典型地30至60 mol%的量存在於該聚合物中。 合適的具有通式 (I) 之聚合單元包括例如以下:
Figure 02_image004
Figure 02_image006
Figure 02_image008
Figure 02_image010
Figure 02_image012
Figure 02_image014
Figure 02_image016
合適的具有通式 (II) 之聚合單元包括例如以下:
Figure 02_image018
Figure 02_image020
該聚合物可以包含額外類型的聚合單元。合適的額外單元可以含有選自例如烷基、羥基,氟烷基,氟醇、酯、醚、醯亞胺、磺醯胺、酸(如磺酸或羧酸)、或側氧基鏈烷酸酯基團及其組合中的一種或多種的基團。特別較佳的額外單元包括具有通式 (III) 之那些:
Figure 02_image022
其中R3 表示氫、鹵素原子、C1-C3烷基或C1-C3氟烷基,其中氫或甲基係典型的。W表示O或NR,其中R表示氫或C1-C6烷基,典型地C1-C3烷基;並且R4 表示取代或未取代的C1-C20烷基,典型地C1-C12烷基,或C1-C20雜烷基,典型地C1-C12雜烷基。當包含在聚合物中時,基於聚合物的總聚合單元,具有式 (III) 之聚合單元典型地以5至20 mol%的量存在。
合適的具有通式 (III) 之聚合單元包括例如以下:
Figure 02_image024
Figure 02_image026
Figure 02_image028
其他合適的額外聚合單元包括例如以下:
Figure 02_image030
Figure 02_image032
Figure 02_image034
Figure 02_image036
Figure 02_image038
存在於聚合物中的額外聚合單元的含量可以廣泛地變化,並且基於該聚合物的總聚合單元,典型地是2至20 mol%。
根據本發明之合適的聚合物包括例如以下:
Figure 02_image040
Figure 02_image042
Figure 02_image044
Figure 02_image046
Figure 02_image048
Figure 02_image050
Figure 02_image052
Figure 02_image054
Figure 02_image056
Figure 02_image058
Figure 02_image060
Figure 02_image062
Figure 02_image064
Figure 02_image066
其中各種單元類型的莫耳比在上述範圍內。
修整組成物典型地包括單一聚合物,但也可以視需要包括一種或多種額外的聚合物。組成物中聚合物的含量將取決於例如層的目標厚度,當希望更厚的層時使用更高的聚合物含量。聚合物典型地以基於圖案修整組成物的總固體80至99.9 wt%、更典型地90至99 wt%或95至99 wt%的量存在於該修整組成物中。聚合物的重量平均分子量(Mw)典型地是如藉由GPC相對於聚苯乙烯標準品測量的小於400,000、較佳的是3000至50,000、更較佳的是3000至25,000。典型地,聚合物將具有如藉由GPC相對於聚苯乙烯標準品測量的3或更小、較佳的是2或更小的多分散指數(PDI = Mw/Mn)。
用於修整組成物的合適的聚合物係可商購的和/或可以由熟悉該項技術者容易地製備。例如,聚合物可以藉由將對應於該聚合物單元的選定單體溶解在有機溶劑中、向其中添加自由基聚合引發劑、並進行熱聚合以形成該聚合物來合成。可以用於聚合物聚合的合適的有機溶劑的實例包括例如,甲苯、苯、四氫呋喃、二乙醚、二㗁𠮿、乳酸乙酯和甲基異丁基甲醇。合適的聚合引發劑包括例如,2,2’-偶氮雙異丁腈(AIBN)、2,2’-偶氮雙(2,4-二甲基戊腈)、二甲基2,2-偶氮雙(2-甲基丙酸酯)、過氧化苯甲醯和過氧化月桂醯。
修整組成物進一步包括非聚合物芳香族磺酸。在基於去保護反應的光阻劑的情況下,加熱的酸會導致光阻劑圖案的表面區域中的酸不穩定基團的鍵斷裂,使得光阻劑聚合物在有待施加的沖洗溶液中的溶解度增加。 芳香族磺酸具有通式 (IV):
Figure 02_image068
其中Ar1 表示芳香族基團,其可為碳環的、雜環的或其組合。芳香族基團可為單環的,例如苯基或吡啶基,或多環的,例如聯苯基,並且可以包括多個稠合的芳香族環,如萘基、蒽基、芘基或喹啉基;或具有芳香族和非芳香族環兩者的稠環系統,如1,2,3,4-四氫化萘、9,10-二氫蒽或茀。多種芳香族基團可以用於Ar1 。芳香族基團典型地具有5至40個碳、較佳的是6至35個碳、並且更較佳的是6至30個碳。合適的芳香族基團包括,但不限於:苯基、聯苯基、萘基、蒽基、菲基、芘基、并四苯基(tetracenyl)、三伸苯基、四苯基、苯并[f]四苯基、苯并[m]四苯基和苯并[k]四苯基、並五苯基、苝基、苯并[a]芘基、苯并[e]芘基、苯并[ghi]苝基、蔻基、喹啉基、7,8-苯并喹啉基、茀基和12H-二苯并[b,h]茀基。其中,苯基係特別較佳的。R5 獨立地表示鹵素原子、羥基、取代或未取代的烷基、取代或未取代的雜烷基、取代或未取代的碳環芳基、取代或未取代的雜環芳基、取代或未取代的烷氧基、或其組合。R5 還可以包含一個或多個基團,如酯、羧基、醚或其組合。a表示0或更大的整數並且b表示1或更大的整數,前提係a + b不大於Ar1 的可用芳香族碳原子的總數。較佳的是,R5 中的兩個或更多個獨立地是直接鍵合至芳香族環碳原子的氟原子或氟烷基。芳香族磺酸典型地以基於修整組成物的總固體約0.01至20 wt%的量存在。
較佳的是,芳香族磺酸具有通式IV:
Figure 02_image070
其中:R5 獨立地表示鹵素原子、羥基、取代或未取代的烷基、取代或未取代的雜烷基、取代或未取代的碳環芳基、取代或未取代的雜環芳基、取代或未取代的烷氧基、或其組合,其中相鄰的R5 基團與該芳香族基團一起視需要形成稠環;a表示0至5的整數。在較佳的方面,R5 中的兩個或更多個獨立地是氟原子或氟烷基。
合適的示例性芳香族磺酸包括以下:
Figure 02_image072
Figure 02_image074
Figure 02_image076
Figure 02_image078
Figure 02_image080
Figure 02_image082
Figure 02_image084
Figure 02_image086
Figure 02_image088
Figure 02_image090
Figure 02_image092
Figure 02_image094
Figure 02_image096
Figure 02_image098
Figure 02_image100
Figure 02_image102
Figure 02_image104
Figure 02_image106
Figure 02_image108
Figure 02_image110
Figure 02_image112
修整組成物進一步包含基於有機物的溶劑系統,該系統包含一種或多種不同的溶劑。術語「基於有機物的」意指溶劑系統包括基於修整組成物的總溶劑大於50 wt%的有機溶劑、更典型地基於該修整組成物的總溶劑大於90 wt%、大於95 wt%、大於99 wt%或100 wt%的有機溶劑。配製並澆鑄修整組成物的合適的溶劑材料應該對於該修整組成物的非溶劑組分展現出良好溶解度特性,而不會明顯溶解下面的光阻劑圖案,從而使與該光阻劑圖案的混合最小化。
用於修整組成物之合適有機溶劑包括例如:烷基酯,如丙酸烷基酯,如丙酸正丁酯、丙酸正戊酯、丙酸正己酯和丙酸正庚酯,以及丁酸烷基酯,如丁酸正丁酯、丁酸異丁酯和異丁酸異丁酯;酮,如2,5-二甲基-4-己酮和2,6-二甲基-4-庚酮;脂族烴,如正庚烷、正壬烷、正辛烷、正癸烷、2-甲基庚烷、3-甲基庚烷、3,3-二甲基己烷和2,3,4-三甲基戊烷,以及氟化脂族烴,如全氟庚烷;醇,如直鏈、支鏈或環狀的C4 -C9 一元醇,如1-丁醇、2-丁醇、異丁醇、三級丁醇、3-甲基-1-丁醇、1-戊醇、2-戊醇、4-甲基-2-戊醇、1-己醇、1-庚醇、1-辛醇、2-己醇,2-庚醇、2-辛醇、3-己醇、3-庚醇、3-辛醇和4-辛醇;2,2,3,3,4,4-六氟-1-丁醇,2,2,3,3,4,4,5,5-八氟-1-戊醇和2,2,3,3,4,4,5,5,6,6-十氟-1-己醇和C5 -C9 氟化二醇,如2,2,3,3,4,4-六氟-1,5-戊二醇、2,2,3,3,4,4,5,5-八氟-1,6-己二醇和2,2,3,3,4,4,5,5,6,6,7,7-十二氟-1,8-辛二醇;醚,如異戊基醚和二丙二醇單甲醚;以及含有該等溶劑中的一種或多種的混合物。
當待修整的光阻劑圖案由乙烯基芳香族基聚合物,如含有苯乙烯和/或羥基苯乙烯單元的聚合物形成時,溶劑系統較佳的是包含一種或多種單醚溶劑。當處理乙烯基芳香族基光阻劑圖案時,使用單醚基溶劑系統可以提供低的頂部損失特性。如本文使用,「乙烯基芳香族」意指由其中芳香族基團直接鍵合至乙烯基的單體形成的聚合單元,例如苯乙烯、羥基苯乙烯和乙烯基萘。「乙烯基芳香族基聚合物」意指聚合物含有基於該聚合物的總單元大於50 mol%、更典型地基於該聚合物的總單元50至90 mol%或60至80 mol%的乙烯基芳香族單元。
合適的含單醚的溶劑系統包含一種或多種單醚溶劑,其組合量為基於該溶劑系統50至98 wt%、較佳的是70至98 wt%、80至98 wt%或90至98 wt%。較佳的單醚溶劑包括烷基單醚和芳香族單醚,特別較佳的是具有6至16的總碳數的那些。合適的烷基單醚包括例如1,4-桉樹腦、1,8-桉樹腦、蒎烯氧化物、二正丙基醚、二異丙基醚、二正丁基醚、二正戊基醚、二異戊基醚、二己基醚、二庚基醚和二辛基醚,其中二異戊基醚係較佳的。合適的芳香族單醚包括例如苯甲醚、乙基苄基醚、二苯醚、二苄基醚和苯乙醚,其中苯甲醚係較佳的。
含醚的溶劑系統較佳的是進一步包括一種或多種醇和/或酯溶劑。對於某些修整組成物,醇和/或酯溶劑可以相對於固體組分提供增強的溶解度。合適的醇溶劑包括例如:直鏈、支鏈或環狀的C4-C8一元醇,如1-丁醇、2-丁醇、異丁醇、三級丁醇、3-甲基-1-丁醇、1-戊醇、2-戊醇、4-甲基-2-戊醇、1-己醇、1-庚醇、2-己醇、2-庚醇、3-己醇、3-庚醇、1-辛醇、2-辛醇、3-辛醇、4-辛醇、2,2,3,3,4,4-六氟-1-丁醇、2,2,3,3,4,4,5,5-八氟-1-戊醇和2,2,3,3,4,4,5,5,6,6-十氟-1-己醇;以及C5-C9氟化二醇,如2,2,3,3,4,4-六氟-1,5-戊二醇、2,2,3,3,4,4,5,5-八氟-1,6-己二醇和2,2,3,3,4,4,5,5,6,6,7,7-十二氟-1,8-辛二醇。醇溶劑較佳的是係C4-C8一元醇,其中4-甲基-2-戊醇係較佳的。合適的酯溶劑包括例如,具有4至10的總碳數的烷基酯,如丙酸烷基酯,如丙酸正丁酯、丙酸正戊酯、丙酸正己酯和丙酸正庚酯,以及丁酸烷基酯,如丁酸正丁酯、丁酸異丁酯和異丁酸異丁酯。如果用於含醚的溶劑系統,則一種或多種醇和/或酯溶劑以基於該溶劑系統2至50 wt%的組合量、更典型地以2至30 wt%的量存在。
含醚的溶劑系統可以包括一種或多種額外的溶劑,該溶劑選自例如以下中的一種或多種:酮,如2,5-二甲基-4-己酮和2,6-二甲基-4-庚酮;脂族烴,如正庚烷、2-甲基庚烷、3-甲基庚烷、3,3-二甲基己烷、2,3,4-三甲基戊烷、正辛烷、正壬烷和正癸烷;氟化脂族烴,如全氟庚烷;以及二醚,如二丙二醇單甲醚。此類額外的溶劑(如果使用的話)典型地以基於該溶劑系統1至20 wt%的組合量存在。
特別較佳的基於有機物的溶劑系統包含基於該溶劑系統70至98 wt%的組合量的一種或多種單醚溶劑,以及基於該溶劑系統2至30 wt%的組合量的一種或多種醇和/或酯溶劑。構成基於有機物的溶劑系統的一種或多種溶劑典型地以基於外塗層組成物90至99 wt%、較佳的是95至99 wt%的組合量存在於該外塗層組成物中。
修整組成物可以進一步包括一種或多種額外的、視需要的組分,例如表面活性劑。典型的表面活性劑包括展現出兩親性質的那些,意指其可以同時是親水性的和疏水性的。兩親性表面活性劑具有一個或多個親水性頭基(其對於水具有強的親和力)以及一個長疏水尾(其係親有機性的且排斥水)。合適的表面活性劑可為離子的(即陰離子、陽離子)或非離子的。表面活性劑的另外的實例包括矽酮表面活性劑、聚(氧化烯)表面活性劑、以及含氟化合物表面活性劑。合適的非離子表面活性劑包括但不限於,辛基和壬基苯酚乙氧基化物,如TRITON® X-114、X-100、X-45、X-15,以及支鏈的二級醇乙氧基化物,如TERGITOL™ TMN-6(陶氏化學公司(Dow Chemical Company),美國密西根州米德蘭)。還另外的示例性的表面活性劑包括,醇(二級醇和二級醇)乙氧基化物、胺乙氧基化物、葡糖苷、葡糖胺、聚乙二醇、聚(乙二醇-共-丙二醇),或揭露於以下中的其他表面活性劑:Glen Rock, N.J的Manufacturers Confectioners Publishing Co. [糖果製造商出版公司] 出版的2000年北美版的McCutcheon’s Emulsifiers and Detergents [麥卡琴乳化劑和清潔劑]。為炔二醇衍生物的非離子表面活性劑也可為合適的。此類表面活性劑可商購於賓夕法尼亞州亞蘭敦的空氣化工產品有限公司(Air Products and Chemicals, Inc.)並且以商品名SURFYNOL®和DYNOL®出售。額外的合適的表面活性劑包括其他聚合物化合物,如三嵌段EO-PO-EO共聚物PLURONIC® 25R2、L121、L123、L31、L81、L101和P123(巴斯夫公司(BASF, Inc.))。如果使用的話,此類表面活性劑和其他視需要的添加劑典型地以基於修整組成物的總固體的如0.01至10 wt%的少量存在於組成物中。修整組成物較佳的是不含交聯劑,因為此類材料會導致光阻劑圖案的尺寸增加。修整組成物可以不含聚合物酸和聚合物酸產生劑。
修整組成物可以按照已知程序製備。例如,可以藉由將組成物的固體組分溶解於溶劑組分來製備組成物。所希望的組成物的總固體含量將取決於多種因素,如所希望的最終的層厚度。較佳的是,修整組成物的固體含量係基於組成物的總重量1 wt%至10 wt%、更較佳的是1 wt%至5 wt%。 圖案形成方法
現在將參照圖1A-H描述根據本發明之製程,該圖1A-H示出了根據本發明之圖案形成方法的示例性製程流程。雖然所示出的製程流程描述了一種圖案化製程,其中使用單個抗蝕劑掩模將光阻劑圖案轉移到下面的基底,但是應當清楚,該方法可以用於其他光刻製程,例如用於雙圖案化製程,如光刻-光刻-蝕刻(LLE),光刻-蝕刻-光刻-蝕刻(LELE)或自對準雙圖案化(SADP),如離子注入掩模,或者其中這種光阻劑圖案處理將是有益的任何其他光刻製程。
圖1A描繪了可以包括各種層和特徵的基底100的截面。該基底可為如半導體的材料,如矽或化合物半導體(例如,III-V或II-VI)、玻璃、石英、陶瓷、銅等。典型地,基底係半導體晶圓,如單晶矽或化合物半導體晶圓,並且可以具有在其表面上形成的一個或多個層以及圖案化特徵。可以在基底100上提供一個或多個待圖案化的層102。視需要,例如,當希望在基底材料中形成溝槽時,可以對下面的基礎基底材料本身進行圖案化。在對基礎基底材料本身進行圖案化的情況下,應該認為圖案係在基底的層中形成的。
該層可以包括例如一個或多個導電層,如鋁、銅、鉬、鉭、鈦、鎢,該等金屬的合金、氮化物或矽化物、摻雜非晶矽或摻雜多晶矽的層;一個或多個介電層,如氧化矽、氮化矽、氮氧化矽或金屬氧化物的層;半導體層,如單晶矽;以及其組合。待蝕刻的層可以藉由各種技術形成,例如化學氣相沈積(CVD),如電漿增強的CVD(PECVD)、低壓CVD(LPCVD)或外延生長,物理氣相沈積(PVD),如濺射或蒸發、或電鍍。待蝕刻的一個或多個層102的具體厚度將根據材料和形成的具體裝置變化。
根據待蝕刻的具體層、膜厚度和待使用的光刻材料和製程,可能希望在層102上佈置硬掩模層103和/或底部抗反射塗層(BARC)104,在其上塗覆光阻劑層106。例如,對於非常薄的抗蝕劑層,可能需要使用硬掩模層,其中待蝕刻的層需要顯著的蝕刻深度,和/或其中特定的蝕刻劑具有差的抗蝕劑選擇性。在使用硬掩模層的情況下,可以將待形成的抗蝕劑圖案轉移到硬掩模層103,進而可以將其用作用於蝕刻下層102的掩模。合適的硬掩模材料和形成方法係本領域已知的。典型的材料包括例如鎢、鈦、氮化鈦、氧化鈦、氧化鋯、氧化鋁、氧氮化鋁、氧化鉿、無定形碳、旋塗碳(SOC)、氮氧化矽和氮化矽。硬掩模層可以包括單個層或具有不同材料的多個層。硬掩模層可以例如藉由CVD、PVD或旋塗技術形成。
在基底和/或下層在光阻劑曝光期間會反射大量的入射輻射,使得形成的圖案的品質會受到不利影響的情況下,底部抗反射塗層可能是希望的。此類塗層可以改善聚焦深度、曝光寬容度、線寬均勻度和CD控制。在抗蝕劑曝光於深紫外輻射(300 nm或更小),例如KrF(248 nm)、ArF(193 nm)或EUV(13.5 nm)輻射的情況下,典型地使用抗反射塗層。抗反射塗層可以包括單個層或多個不同的層。合適的抗反射材料和形成方法係本領域已知的。抗反射材料係可商購的,例如由杜邦公司(DuPont)(威爾明頓,德拉瓦州,美國)以AR™商品名銷售的那些,如AR™3、AR™40A和AR™124抗反射材料。
光阻劑層106由光阻劑組成物形成,典型地由包含具有酸不穩定基團的聚合物、光酸產生劑和溶劑的化學增強的光敏組成物形成。合適的光阻劑組成物係本領域眾所周知的。較佳的是,光阻劑聚合物由選自乙烯基芳香族(例如苯乙烯和羥基苯乙烯)、(甲基)丙烯酸酯、降冰片烯(norbornene)、及其組合的單體形成。在較佳的方面,光阻劑聚合物係基於乙烯基芳香族,其中聚合物中超過50 mol%的聚合單元,典型地聚合物中超過80 mol%的聚合單元由乙烯基芳香族單體形成。
將光阻劑層佈置在抗反射層104(如果存在)上方的基底上。可以藉由旋塗、浸塗、輥塗或其他常規的塗覆技術將光阻劑組成物施加到基底上。其中,旋塗係典型的。對於旋塗,可以調節塗覆溶液的固體含量,以基於所用的特定塗覆設備、溶液的黏度、塗覆工具的速度和允許用於旋轉的時間量來提供所希望的膜厚度。光阻劑層106的典型厚度係約500至3000 Å。
接下來,典型地將光阻劑層106軟烘烤以最小化該層中的溶劑含量,從而形成無黏性塗層並提高該層對基底的黏附性。軟烘烤可以在加熱板上或在烘箱中進行,其中加熱板係典型的。軟烘烤溫度和時間將取決於例如光阻劑的具體材料和厚度。典型的軟烘烤在約90°C至150°C的溫度下進行,並且時間為約30至90秒。
接下來,將光阻劑層106通過光掩模110曝光於活化輻射108,以在曝光與未曝光區域之間產生溶解度差異。本文提及的將光阻劑組成物暴露於對該組成物有活化作用的輻射表明輻射能夠在該光阻劑組成物中形成潛像。光掩模具有光學透明和光學不透明區域,分別對應於抗蝕劑層中的待藉由活化輻射曝光的和未曝光的區域。曝光波長典型地是400 nm以下、300 nm以下(如深UV(248 nm))、193 nm或EUV波長(例如13.5 nm)。在較佳的方面,曝光波長係深UV或EUV光刻。曝光能量典型地是約10至80 mJ/cm2 ,這取決於例如曝光工具和光敏組成物的組分。
在曝光光阻劑層106之後,典型地進行後-曝光烘烤(PEB)。PEB可以例如在加熱板上或在烘箱中進行。PEB的條件將取決於例如具體的光阻劑組成物和層厚度。PEB典型地是在約80°C至150°C的溫度下進行,並且時間為約30至90秒。由此形成由極性轉換和未轉換區域(分別對應於曝光和未曝光區域)之間的邊界限定的潛像。
接下來,將光阻劑層106顯影以除去該層的曝光區域,留下未曝光區域形成如圖1B所示的具有多個特徵的抗蝕劑圖案106’。該特徵不限於並且可以包括例如多個線、柱和/或接觸孔圖案,它們允許在待圖案化的下層中形成此類圖案。所形成的抗蝕劑圖案具有以L1 所示的初始尺寸、線圖案的線寬、柱圖案的柱直徑或接觸孔圖案之側壁寬度。
如圖1C所示,在光阻劑圖案106’上形成如本文描述之光阻劑圖案修整組成物的層112。典型地藉由旋塗將修整組成物施加到基底上。可以調節塗覆溶液的固體含量,以基於所用的特定塗覆設備、溶液的黏度、塗覆工具的速度和允許用於旋轉的時間量來提供所希望的膜厚度。圖案修整組成物層112的典型厚度係典型地在未圖案化的基底上測量的200至1500 Å。
如圖1D所示,接下來,烘烤基底以除去修整組成物層中的溶劑。烘烤還允許修整組成物的酸擴散到抗蝕劑圖案106’的表面,以在抗蝕劑圖案表面區域114中引起極性改變反應。烘烤可以用加熱板或烘箱進行,其中加熱板係典型的。合適的烘烤溫度係大於50°C,例如大於70°C、大於90°C、大於120°C或大於150°C,其中70°C至160°C的溫度和約30至90秒的時間係典型的。雖然單個烘烤步驟係典型的,但可以使用多步驟烘烤並且可以用於抗蝕劑輪廓調整。
接下來,使光阻劑圖案與沖洗劑(典型地顯影溶液)接觸以除去殘留的修整組成物層112以及典型地還有光阻劑圖案的表面區域114,其中所得圖案106”在圖1E中示出。該沖洗劑典型地是水性的鹼性顯影劑,例如氫氧化季銨溶液,例如,四烷基氫氧化銨溶液,如0.26當量濃度(N)(2.38 wt%)四甲基氫氧化銨(TMAH)。沖洗劑可以進一步係水或包含水。所得結構在圖1E中示出。與修整處理之前的特徵尺寸相比,修整處理之後的抗蝕劑圖案具有更小的尺寸(L2 )。
使用抗蝕劑圖案106”作為蝕刻掩模,選擇性蝕刻BARC層104以形成暴露下面的硬掩模層103的BARC圖案104’,如圖1F所示。接下來,選擇性蝕刻該硬掩模層,再次使用抗蝕劑圖案作為蝕刻掩模,產生圖案化的BARC和硬掩模層103’,如圖1G所示。用於蝕刻BARC層和硬掩模層的合適的蝕刻技術和化學品係本領域已知的並且將取決於例如該等層的具體材料。乾法蝕刻製程如反應性離子蝕刻係典型的。接下來,使用已知的技術,例如氧電漿灰化,將抗蝕劑圖案106”和圖案化的BARC層104’從基底除去。然後使用硬掩模圖案103’作為蝕刻掩模,選擇性蝕刻一個或多個層102。用於蝕刻下層102的合適的蝕刻技術和化學品係本領域已知的,其中乾法蝕刻製程如反應性離子蝕刻係典型的。接下來,可以使用已知技術,例如乾法蝕刻製程如反應性離子蝕刻或濕法剝離,將圖案化的硬掩模層103’從基底表面除去。所得結構係蝕刻特徵102’的圖案,如圖1H所示。在可替代的示例性方法中,可能希望的是使用光阻劑圖案106”對層102進行直接圖案化,而不使用硬掩模層103。是否可以使用具有抗蝕劑圖案的直接圖案化將取決於如所涉及的材料、抗蝕劑選擇性、抗蝕劑圖案厚度和圖案尺寸等因素。
以下非限制性實例說明本發明。 實例 聚合物合成
使用以下單體根據以下描述的程序合成聚合物:
Figure 02_image114
實例1(聚合物P1)
單體進料溶液係藉由將14.54 g單體M1和5.11 g單體M3溶解在131.06 g乳酸乙酯中來製備,並且將溶液加熱至165°C。引發劑進料溶液係藉由將1.25 g V-601自由基引發劑(和光化學公司(Wako Chemical Company))和58.04 g乳酸乙酯在容器中組合並且攪拌混合物以溶解引發劑來製備。在2小時的時間段內將引發劑進料溶液進料到反應容器中。將反應容器在攪拌下維持在165°C下持續額外的24小時,並且然後使其冷卻至室溫。使反應混合物從甲醇 :水75/25(wt%)(20x)中沈澱以得到呈白色固體的聚合物P1(14 g,74%產率)。對於此和隨後的實例,重量平均分子量(Mw)和數目平均分子量(Mn)係如藉由凝膠滲透層析法(GPC)測量的聚苯乙烯當量值確定的,並且多分散性計算為PDI = Mw/Mn。對於此和隨後的實例的聚合物中的單體比率和分子量結果在表1中示出。 實例2(聚合物P2)
單體進料溶液係藉由將13.81 g單體M1和7.44 g單體M3溶解在190.97 g乳酸乙酯中來製備,並且將溶液加熱至165°C。引發劑進料溶液係藉由將4.30 g V-601自由基引發劑(和光化學公司)和43.50 g乳酸乙酯在容器中組合並且攪拌混合物以溶解引發劑來製備。在0.5小時的時間段內將引發劑進料溶液進料到反應容器中。將反應容器在攪拌下維持在165°C下持續額外的24小時,並且然後使其冷卻至室溫。使反應混合物從甲醇 :水75/25(wt%)(20x)中沈澱以得到呈白色固體的聚合物P2(18 g,85%產率)。 實例3(聚合物P3)
單體進料溶液係藉由將11.98 g單體M1、5.13 g單體M3和1.90 g單體M4溶解在108 g乳酸乙酯中來製備,並且將溶液加熱至165°C。引發劑進料溶液係藉由將1.52 g V-601自由基引發劑(和光化學公司)和70.00 g乳酸乙酯在容器中組合並且攪拌混合物以溶解引發劑來製備。在0.5小時的時間段內將引發劑進料溶液進料到反應容器中。將反應容器在攪拌下維持在165°C下持續額外的24小時,並且然後使其冷卻至室溫。使反應混合物從甲醇 : 水75/25(wt%)(20x)中沈澱以得到呈白色固體的聚合物P3(15 g,79%產率)。 實例4(聚合物P4)
單體進料溶液係藉由將14.62 g單體M1、7.87 g單體M3和2.58 g單體M4溶解在220.00 g乳酸乙酯中來製備,並且將溶液加熱至165°C。引發劑進料溶液係藉由將4.81 g V-601自由基引發劑(和光化學公司)和55.00 g乳酸乙酯在容器中組合並且攪拌混合物以溶解引發劑來製備。在0.5小時的時間段內將引發劑進料溶液進料到反應容器中。將反應容器在攪拌下維持在165°C下持續額外的24小時,並且然後使其冷卻至室溫。使反應混合物從甲醇 : 水80/20(wt%)(20x)中沈澱以得到呈白色固體的聚合物P4(21 g,84%產率)。 實例5(聚合物P5)
單體進料溶液係藉由將10.16 g單體M1、6.82 g單體M3和2.02 g單體M4溶解在72 g乳酸乙酯中來製備,並且將溶液加熱至165°C。引發劑進料溶液係藉由將2.28 g V-601自由基引發劑(和光化學公司)和106.00 g乳酸乙酯在容器中組合並且攪拌混合物以溶解引發劑來製備。在2小時的時間段內將引發劑進料溶液進料到反應容器中。將反應容器在攪拌下維持在165°C下持續額外的24小時,並且然後使其冷卻至室溫。使反應混合物從甲醇 : 水75/25(wt%)(20x)中沈澱以得到呈白色固體的聚合物P5(17 g,89%產率)。 實例6(聚合物P6)
單體進料溶液係藉由將14.35g單體M2和4.66 g單體M3溶解在127 g乳酸乙酯中來製備,並且將溶液加熱至165°C。引發劑進料溶液係藉由將1.25 g V-601自由基引發劑(和光化學公司)和58.00 g乳酸乙酯在容器中組合並且攪拌混合物以溶解引發劑來製備。在兩小時的時間段內將引發劑進料溶液進料到反應容器中。將反應容器在攪拌下維持在165°C下持續額外的24小時,並且然後使其冷卻至室溫。使反應混合物從甲醇 :水75/25(wt%)(20x)中沈澱以得到呈白色固體的聚合物P6(15 g,79%產率)。 實例7(聚合物P7)
單體進料溶液係藉由將17.80 g單體M2和7.27 g單體M3溶解在225.00 g乳酸乙酯中來製備,並且將溶液加熱至165°C。引發劑進料溶液係藉由將4.91g V-601自由基引發劑(和光化學公司)和50.00 g乳酸乙酯在容器中組合並且攪拌混合物以溶解引發劑來製備。在0.5小時的時間段內將引發劑進料溶液進料到反應容器中。將反應容器在攪拌下維持在165°C下持續額外的24小時,並且然後使其冷卻至室溫。使反應混合物從甲醇 :水75/25(wt%)(20x)中沈澱以得到呈白色固體的聚合物P7(21 g,84%產率)。 實例8(聚合物P8)
單體進料溶液係藉由將12.35 g單體M2、1.81 g單體M3和4.85 g單體M4溶解在86.00 g乳酸乙酯中來製備,並且將溶液加熱至165°C。引發劑進料溶液係藉由將2.21 g V-601自由基引發劑(和光化學公司)和102.80 g乳酸乙酯在容器中組合並且攪拌混合物以溶解引發劑來製備。在2小時的時間段內將引發劑進料溶液進料到反應容器中。將反應容器在攪拌下維持在165°C下持續額外的24小時,並且然後使其冷卻至室溫。使反應混合物從甲醇 : 水70/30(wt%)(20x)中沈澱以得到呈白色固體的聚合物P8(15.5 g,81%產率)。 實例9(聚合物P9)
單體進料溶液係藉由將12.35 g單體M2、1.81 g單體M3和4.85 g單體M4溶解在130 g乳酸乙酯中來製備,並且將溶液加熱至165°C。引發劑進料溶液係藉由將2.52 g V-601自由基引發劑(和光化學公司)和50.00 g乳酸乙酯在容器中組合並且攪拌混合物以溶解引發劑來製備。在2小時的時間段內將引發劑進料溶液進料到反應容器中。將反應容器在攪拌下維持在165°C下持續額外的24小時,並且然後使其冷卻至室溫。使反應混合物從甲醇 : 水75/25(wt%)(20x)中沈澱以得到呈白色固體的聚合物P9(17 g,89%產率)。 實例10(聚合物P10)
單體進料溶液係藉由將11.60 g單體M2、1.85 g單體M3和5.56 g單體M4溶解在130 g乳酸乙酯中來製備,並且將溶液加熱至165°C。引發劑進料溶液係藉由將2.73 g V-601自由基引發劑(和光化學公司)和58.00 g乳酸乙酯在容器中組合並且攪拌混合物以溶解引發劑來製備。在2小時的時間段內將引發劑進料溶液進料到反應容器中。將反應容器在攪拌下維持在165°C下持續額外的24小時,並且然後使其冷卻至室溫。使反應混合物從甲醇 : 水75/25(wt%)(20x)中沈澱以得到呈白色固體的聚合物P10(14.5 g,76%產率)。 實例11(聚合物P11)
單體進料溶液係藉由將10.64 g單體M2、1.94 g單體M3和6.46 g單體M4溶解在140 g乳酸乙酯中來製備,並且將溶液加熱至165°C。引發劑進料溶液係藉由將3.27 g V-601自由基引發劑(和光化學公司)和38.00 g乳酸乙酯在容器中組合並且攪拌混合物以溶解引發劑來製備。在2小時的時間段內將引發劑進料溶液進料到反應容器中。將反應容器在攪拌下維持在165°C下持續額外的24小時,並且然後使其冷卻至室溫。使反應混合物從甲醇 : 水75/25(wt%)(20x)中沈澱以得到呈白色固體的聚合物P11(15 g,79%產率)。 實例12(聚合物P12)
單體進料溶液係藉由將12.03 g單體M7和4.97 g單體M3溶解在152 g乳酸乙酯中來製備,並且將溶液加熱至165°C。引發劑進料溶液係藉由將2.72 g V-601自由基引發劑(和光化學公司)和27.50 g乳酸乙酯在容器中組合並且攪拌混合物以溶解引發劑來製備。在0.5小時的時間段內將引發劑進料溶液進料到反應容器中。將反應容器在攪拌下維持在165°C下持續額外的24小時,並且然後使其冷卻至室溫。使反應混合物從甲醇 :水75/25(wt%)(20x)中沈澱以得到呈白色固體的聚合物P12(13 g,76%產率)。 實例13(聚合物P13)
單體進料溶液係藉由將13.12 g單體M8和3.88 g單體M3溶解在152 g乳酸乙酯中來製備,並且將溶液加熱至165°C。引發劑進料溶液係藉由將2.72 g V-601自由基引發劑(和光化學公司)和30.00 g乳酸乙酯在容器中組合並且攪拌混合物以溶解引發劑來製備。在0.5小時的時間段內將引發劑進料溶液進料到反應容器中。將反應容器在攪拌下維持在165°C下持續額外的24小時,並且然後使其冷卻至室溫。使反應混合物從甲醇 : 水75/25(wt%)(20x)中沈澱以得到呈白色固體的聚合物P13(12 g,70%產率)。 實例14(聚合物P14)
單體進料溶液係藉由在反應容器中將11 g單體M1、7.44 g單體M3和2.72 g單體M9溶解在190 g乳酸乙酯中來製備,並且將溶液加熱至165°C。引發劑進料溶液係藉由將3.40 g V-601自由基引發劑(和光化學公司)和34.40 g乳酸乙酯在容器中組合並且攪拌混合物以溶解引發劑來製備。在0.5小時的時間段內將引發劑進料溶液進料到反應容器中。將反應容器在攪拌下維持在165°C下持續額外的24小時,並且然後使其冷卻至室溫。使反應混合物從甲醇 :水75/25(wt%)(20x)中沈澱以得到呈白色固體的聚合物P14(18 g,86%)。 實例15(聚合物P15)
單體進料溶液係藉由將10.92 g單體M1、5.87 g單體M3和4.46 g單體M10溶解在190 g乳酸乙酯中來製備,並且將溶液加熱至165°C。引發劑進料溶液係藉由將3.40 g V-601自由基引發劑(和光化學公司)和35.00 g乳酸乙酯在容器中組合並且攪拌混合物以溶解引發劑來製備。在0.5小時的時間段內將引發劑進料溶液進料到反應容器中。將反應容器在攪拌下維持在165°C下持續額外的24小時,並且然後使其冷卻至室溫。使反應混合物從甲醇 : 水60/40(wt%)(20x)中沈澱以得到呈白色固體的聚合物P15(17 g,81%產率)。 實例16(聚合物CP1)
進料溶液係藉由將18.60 g丙二醇單甲醚(PGME)、22.19 g單體M5、22.19 g單體M6和1.44 g Wako V-601引發劑在容器中組合,並且攪拌混合物以溶解組分來製備。將20 g PGME引入反應容器中並且將容器用氮氣吹掃30分鐘。接下來將反應容器在攪拌下加熱至95°C。然後將進料溶液引入反應容器中並且在1.5小時的時間段內進料。將反應容器在攪拌下維持在95°C下持續額外的三小時,並且然後使其冷卻至室溫。聚合物 (CP1) 藉由將反應混合物逐滴添加到甲醇/水20/80(wt%)中而沈澱、藉由過濾收集、並且在真空中乾燥。 實例17(聚合物CP2)
進料溶液係藉由將10 g丙二醇單甲醚(PGME)、7.70 g單體M6、2.30 g單體M3和0.50 g Wako V-601引發劑在容器中組合,並且攪拌混合物以溶解組分來製備。將8.6 g PGME引入反應容器中並且將容器用氮氣吹掃30分鐘。接下來將反應容器在攪拌下加熱至95°C。然後將進料溶液引入反應容器中並且在1.5小時的時間段內進料。將反應容器在攪拌下維持在95°C下持續額外的三小時,並且然後使其冷卻至室溫。聚合物 (CP2) 藉由將反應混合物逐滴添加到甲醇/水20/80(wt%)中而沈澱、藉由過濾收集、並且在真空中乾燥。 實例18(聚合物CP3)
進料溶液係藉由將13.00 g丙二醇單甲醚(PGME)、24.00 g單體M6、6.00 g單體M3和1.80 g Wako V-601引發劑在容器中組合,並且攪拌混合物以溶解組分來製備。將15.00 g PGME引入反應容器中並且將容器用氮氣吹掃30分鐘。接下來將反應容器在攪拌下加熱至95°C。然後將進料溶液引入反應容器中並且在1.5小時的時間段內進料。將反應容器在攪拌下維持在95°C下持續額外的三小時,並且然後使其冷卻至室溫。藉由將反應混合物逐滴添加到甲醇/水20/80(wt%)中沈澱聚合物 (CP3)、藉由過濾收集、並且在真空中乾燥。 [表1]
實例 聚合物 單體 A mol% 單體 B mol% 單體 C mol% Mw Mn PDI
實例1 P1 M1(60) M3(40) - 6844 2622 2.61
實例2 P2 M1(50) M3(50) - 3857 1973 1.96
實例3 P3 M1(50) M3(40) M4(10) 6034 2591 2.33
實例4 P4 M1(45) M3(45) M4(10) 4303 1957 2.19
實例5 P5 M1(40) M3(50) M4(10) 7875 3249 2.42
實例6 P6 M2(60) M3(40) - 5744 2518 2.28
實例7 P7 M2(55) M3(45) - 3574 1853 1.93
實例8 P8 M2(50) M3(40) M4(10) 7336 3318 2.21
實例9 P9 M2(50) M3(40) M4(10) 5337 2356 2.27
實例10 P10 M2(45) M3(45) M4(10) 5273 2339 2.25
實例11 P11 M2(40) M3(50) M4(10) 5520 2500 2.21
實例12 P12 M7(60) M3(40) - 3812 1881 2.02
實例13 P13 M8(60) M3(40) - 3460 1816 1.90
實例14 P14 M1(40) M3(50) M9(10) 4268 1936 2.20
實例15 P15 M1(45) M3(45) M10(10) 3606 1715 2.10
實例16(對比) CP1 M5(50) M6(50) - 12750 5744 2.22
實例17(對比) CP2 M6(77) M3(23) - 14130 5705 2.47
實例18(對比) CP3 M6(80) M3(20) - 7540 4530 1.66
溶解速率( DR )評估
將表2確定的聚合物溶解在4-甲基-2-戊醇中以製成14 wt%聚合物溶液。在TEL Clean Track ACT-8塗覆工具上,在120°C下用HMDS對200 mm矽晶圓進行塗底漆持續30秒,用相應的聚合物溶液塗覆並且在80°C下軟烘焙60秒。在Thermawave Optiprobe測量工具上測量所得聚合物膜的厚度。將聚合物膜用MF CD-26(0.26 N TMAH)(杜邦公司)顯影劑顯影60秒,並且重新測量聚合物膜厚度。聚合物膜的溶解速率(DR)計算為: (顯影前厚度-顯影後厚度)/顯影時間。
如果顯影後從晶圓上完全除去聚合物膜,則用TMAH顯影劑在LithoTech Japan ARM 800溶解速率監測器上以470 nm解調波長測量重複晶圓的溶解速率。 [表2]
實例 聚合物 DR Å/s
實例19 P2 588
實例20 P3 912
實例21 P7 4860
實例22 P9 2832
實例23 P10 4798
實例24 P14 1194
實例25(對比) CP1 0
塗層缺陷評估
藉由將聚合物以表3所述之量溶解在溶劑中製成聚合物溶液。將聚合物溶液以1500 rpm旋塗在相應的200 mm Si晶圓上。將經塗覆的晶圓在80°C下烘烤60秒。然後在KLA-Tencor 2800/Surfscan SP2晶圓表面檢查系統上檢查晶圓。該系統測量具有大於45 nm的尺寸的缺陷。對於每個測試的圖案修整組成物,連續測量兩個晶圓,表3中提供了每個測試組成物的兩個測量缺陷值中的較低者。 [表3]
實例 聚合物( wt% 溶劑 1 wt% 溶劑 2 wt% 總缺陷
實例26 P1(3.00) B1(92.15) B2(4.85) 89
實例27 P3(3.00) B1(92.15) B2(4.85) 160
實例28 P6(3.00) B1(87.30) B2(9.70) 224
實例29 P14(3.00) B1(87.30) B2(9.70) 34
實例30(對比) CP3(4.00) B1(81.60) B2(14.40) > 50,000*
B1 = 異戊醚;B2 = 4-甲基-2-戊醇;* > 50K的總缺陷係檢測到的上限。 酸合成
以下酸用於製造如以下描述的圖案修整組成物。酸A1和A3係商業上獲得的並且酸A2係根據以下程序合成的。
Figure 02_image116
實例31(酸A2)
將23.6 g 3,5-雙(三氟甲基)苯磺醯氯溶解在12.5 g水中並且回流24小時。冷卻後,將反應混合物蒸發並在真空下乾燥以得到獲得的酸A2的白色固體(19 g,85.5%產率)。[1 H NMR ((CD3 )2 CO, 600 MHz): δ 8.15 (s, 1H), 8.34 (s, 2H), 10.81 (bs, 1H)。13 C NMR ((CD3 )2 CO, 600 MHz): δ 123.94, 124.22, 126.56, 131.51, 146.79。19 F NMR ((CD3 )2 CO, 600 MHz): δ -63.51]。 圖案修整組成物的製備
光阻劑圖案修整組成物(PTC)係藉由使用在表4中列出的材料和量將固體組分溶解在溶劑中來製備。以14-30 g的比例製備的所得混合物在機械振盪器上振盪3至24小時並且然後通過具有0.2微米孔徑的PTFE盤狀過濾器過濾。 [表4]
實例 圖案修整 組成物 聚合物 wt% wt% 溶劑 B1 wt% 溶劑 B2 wt%
實例31 PTC-1 P3(2.00) A2(0.06) 97.94 -
實例32 PTC-2 P7(2.29) A1(0.07) 87.88 9.76
實例33 PTC-3 P10(2.29) A1(0.07) 87.88 9.76
實例34 PTC-4 P12(2.94) A1(0.06) 87.30 9.70
實例35 PTC-5 P13(2.94) A1(0.06) 87.30 9.70
實例36 PTC-6 P14(2.91) A2(0.09) 87.30 9.70
實例37 PTC-7 P15(2.91) A2(0.09) 87.30 9.70
實例38(對比) PTC-8 CP1(2.92) A3(0.09) 87.29 9.70
實例39(對比) PTC-9 CP2(2.92) A3(0.09) 19.40 77.59
實例40(對比) PTC-10 CP3(6.00) A2(0.12) 79.80 14.08
B1 = 異戊醚;B2 = 4-甲基-2-戊醇;以基於總的圖案修整組成物的重量百分比(wt%)提供所有量。
光阻劑圖案修整組成物評估 圖案修整評價
在TEL Clean Track Act 8塗覆工具上,在200mm矽晶圓上塗覆ARTM3-600有機底部抗反射塗層(BARC)材料(杜邦公司(DuPont))並且在205℃下烘烤60秒至600Å的厚度。在塗覆工具上,將UVTM217G-0.25聚羥基苯乙烯基正性光阻劑(杜邦公司)塗覆在BARC層上並且將晶圓在130℃下軟烘烤持續60秒至3550Å的目標厚度。在Canon FPA-5000 ES4 DUV掃描器(NA=0.68,常規照明(σ,0.75))上,使用具有140nm密集(1:1線-間隔)溝槽圖案的二元分劃板將經塗覆的晶圓暴露於KrF(248nm)輻射。在TEL Clean Track Act 8塗覆工具上,將晶圓在125℃下後曝光烘烤60秒,用0.26 N TMAH水溶液顯影45秒,用蒸餾水沖洗並旋轉乾燥。使用日立高科技公司(Hitachi High Technologies Co.)CG4000 SEM進行抗蝕劑圖案CD測量以獲得初始CD值。接下來,在TEL Clean Track Act 8塗覆工具上,在晶圓上塗覆400Å的相應的圖案修整組成物,在表5中描述的溫度下烘烤60秒,用0.26 N TMAH水溶液沖洗30秒,用蒸餾水沖洗並且旋轉乾燥。然後對經處理的晶圓的抗蝕劑圖案進行CD測量以獲得最終的CD值。根據以下等式計算經處理的圖案的CD變化(△CD):△CD=CDf-CDi
其中CDf係圖案修整處理之後的平均CD測量值,並且CDi係圖案修整處理之前的平均CD測量值。結果在表5中示出。
Figure 109117169-A0305-02-0037-1
NM*=未測量的CDf/在圖案中觀察到的殘餘物;NM**=未測量的CDf/觀察到的圖案損壞。
圖案塌陷裕度(PCM)評估
在TEL Clean Track Act 8塗覆工具上,在200mm矽晶圓上塗覆ARTM3有機底部抗反射塗層(BARC)材料(杜邦公司(DuPont))並且在205℃下固化60秒至600Å的厚度。在TEL Clean Track Act 8塗覆工具上,將UVTM217G-0.25聚羥基苯乙烯基正性光阻劑(杜邦公司)塗覆在BARC層上並且將晶圓在130℃下軟烘烤持續60秒至3550Å的目標厚度。在Canon FPA-5000 ES4 DUV掃描器(NA=0.68,常規照明(σ,0.75))上,使用具有140nm密集溝槽(1:1線-間隔)圖案的二元分劃板將經塗覆的晶圓暴露於466至626J/m2的不同劑量的KrF(248nm)輻射。在TEL Clean Track Act 8塗覆工具上,將晶圓在125℃ 下後曝光烘烤60秒,用0.26 N TMAH水溶液顯影45秒,用蒸餾水沖洗並旋轉乾燥。接下來,在TEL Clean Track Act 8塗覆機/顯影機上,在晶圓上塗覆400Å的相應的圖案修整組成物,在表6中描述的溫度下烘烤60秒,並且用0.26 N TMAH水溶液沖洗30秒,用蒸餾水沖洗並且旋轉乾燥。然後如上該測量經處理的晶圓的抗蝕劑圖案的CD。觀察到圖案的SEM圖像出現圖案塌陷。最大的非塌陷溝槽(PCM)的CD在表6中報告,PCM值越大表明圖案塌陷裕度性能越好。
Figure 109117169-A0305-02-0038-2
將參照以下附圖描述本發明,在附圖中相似的參考號表示相似的特徵,並且在附圖中:
[圖1A-H]示出了用於形成根據本發明之圖案之示例性製程流程。

Claims (10)

  1. 一種光阻劑圖案修整組成物,其包含聚合物、芳香族磺酸和基於有機物的溶劑系統,其中該聚合物包含具有通式(I)和(II)之聚合單元:
    Figure 109117169-A0305-02-0039-4
    其中:X獨立地表示鹵素原子;Q表示單鍵、-O-或-C(O)O-;R1獨立地表示氫、鹵素原子、C1-C12烷基或C1-C12氟烷基,其中該烷基或氟烷基係未取代的或被羥基取代的;R2表示氫,C1-C3烷基或C1-C3氟烷基;並且m係0至4的整數;並且其中基於該聚合物的總聚合單元,具有通式(I)之聚合單元以10至90mol%的量存在於該聚合物中,並且具有通式(II)之聚合單元以10至60mol%的量存在於該聚合物中。
  2. 如請求項1所述之光阻劑圖案修整組成物,其中,該聚合物進一步包含具有通式(III)之聚合單元:
    Figure 109117169-A0305-02-0039-6
    其中:R3表示氫、鹵素原子、C1-C3烷基或C1-C3氟烷基;W表示O或NR,其中R表示氫或C1-C6烷基;並且R4表示取代或未取代的C1-C20烷基或C1-C20雜烷基。
  3. 如請求項2所述之光阻劑圖案修整組成物,其中,基於該聚合物的總聚合單元,該具有通式(III)之聚合單元以1至50mol%的量存在於該聚合物中。
  4. 如請求項1至3中任一項所述之光阻劑圖案修整組成物,其中,該芳香族磺酸具有通式V:
    Figure 109117169-A0305-02-0040-7
    其中:R5獨立地表示鹵素原子、羥基、取代或未取代的烷基、取代或未取代的雜烷基、取代或未取代的碳環芳基、取代或未取代的雜環芳基、取代或未取代的烷氧基、或其組合,其中相鄰的R5基團與該芳香族基團一起視需要形成稠環;並且a表示0至5的整數。
  5. 如請求項1至3中任一項所述之光阻劑圖案修整組成物,其中,該基於有機物的溶劑系統包含單醚。
  6. 如請求項5所述之光阻劑圖案修整組成物,其中,該基於有機物的溶劑系統進一步包含醇或酯。
  7. 如請求項1至3中任一項所述之光阻劑圖案修整組成物,其中,Q係單鍵或-O-並且R1係C1-C12烷基。
  8. 一種圖案形成方法,該方法包括:(a)提供半導體基底;(b)在該半導體基底上形成光阻劑圖案,其中該光阻劑圖案由包括包含酸不穩定基團的聚合物和光酸產生劑的光阻劑組成物形成;(c)將如請求項1至7中任一項所述之圖案修整組成物塗覆在該光阻劑圖案上;(d)加熱該經塗覆的光阻劑圖案;以及(e)用沖洗劑沖洗該經加熱的光阻劑圖案以除去殘留的圖案處理組成物。
  9. 如請求項8所述之圖案形成方法,其中,該沖洗劑係四甲基氫氧化銨水溶液。
  10. 如請求項8或9所述之圖案形成方法,其中,該光阻劑圖案由深UV或EUV光刻形成。
TW109117169A 2019-05-31 2020-05-22 光阻劑圖案修整組成物及圖案形成方法 TWI733463B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201962855902P 2019-05-31 2019-05-31
US62/855902 2019-05-31

Publications (2)

Publication Number Publication Date
TW202046014A TW202046014A (zh) 2020-12-16
TWI733463B true TWI733463B (zh) 2021-07-11

Family

ID=73507042

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109117169A TWI733463B (zh) 2019-05-31 2020-05-22 光阻劑圖案修整組成物及圖案形成方法

Country Status (5)

Country Link
US (1) US11506981B2 (zh)
JP (2) JP2020197709A (zh)
KR (2) KR20200138024A (zh)
CN (1) CN112015050A (zh)
TW (1) TWI733463B (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE112020000288B4 (de) 2019-01-21 2023-01-26 Ngk Insulators, Ltd. Poröse Keramikstruktur
US20220128906A1 (en) * 2020-10-27 2022-04-28 Rohm And Haas Electronic Materials Llc Photoresist pattern trimming compositions and methods of trimming photoresist patterns
CN114262416B (zh) * 2022-03-03 2022-05-20 甘肃华隆芯材料科技有限公司 用于193nm水浸式光刻的聚合物树脂、抗水涂层组合物、抗水涂层及其制备方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2017110658A1 (ja) * 2015-12-22 2017-06-29 富士フイルム株式会社 パターン形成方法、電子デバイスの製造方法及びパターン反転用樹脂組成物
WO2018168252A1 (ja) * 2017-03-13 2018-09-20 富士フイルム株式会社 感活性光線性又は感放射線性樹脂組成物、レジスト膜、パターン形成方法、及び電子デバイスの製造方法
TW201842097A (zh) * 2017-05-01 2018-12-01 美商羅門哈斯電子材料有限公司 圖案形成方法及光致抗蝕劑圖案外塗層組合物
TW201912664A (zh) * 2017-08-31 2019-04-01 日商富士軟片股份有限公司 感光化射線性或感放射線性樹脂組成物、感光化射線性或感放射線性樹脂膜、圖案形成方法及電子元件的製造方法

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04329216A (ja) 1991-05-02 1992-11-18 Hitachi Cable Ltd 絶縁電線
US6180320B1 (en) 1998-03-09 2001-01-30 Mitsubishi Denki Kabushiki Kaisha Method of manufacturing a semiconductor device having a fine pattern, and semiconductor device manufactured thereby
JP4329216B2 (ja) 2000-03-31 2009-09-09 Jsr株式会社 レジストパターン縮小化材料及びそれを使用する微細レジストパターンの形成方法
US6492075B1 (en) 2000-06-16 2002-12-10 Advanced Micro Devices, Inc. Chemical trim process
JP2002006512A (ja) 2000-06-20 2002-01-09 Mitsubishi Electric Corp 微細パターン形成方法、微細パターン形成用材料、およびこの微細パターン形成方法を用いた半導体装置の製造方法
KR100670090B1 (ko) * 2000-11-29 2007-01-17 신에쓰 가가꾸 고교 가부시끼가이샤 아민 화합물, 레지스트 재료 및 패턴 형성 방법
JP2002299202A (ja) 2001-03-29 2002-10-11 Sony Corp 半導体装置の製造方法
US6869899B2 (en) 2001-07-12 2005-03-22 International Business Machines Corporation Lateral-only photoresist trimming for sub-80 nm gate stack
CN1288719C (zh) 2003-03-10 2006-12-06 联华电子股份有限公司 图案光刻胶的微缩制造过程
US7862982B2 (en) 2008-06-12 2011-01-04 International Business Machines Corporation Chemical trim of photoresist lines by means of a tuned overcoat material
US8658341B2 (en) * 2009-04-24 2014-02-25 Nissan Chemical Industries, Ltd. Pattern reversal film forming composition and method of forming reversed pattern
US9436085B2 (en) * 2009-12-16 2016-09-06 Nissan Chemical Industries, Ltd. Composition for forming photosensitive resist underlayer film
JP6108832B2 (ja) 2011-12-31 2017-04-05 ローム アンド ハース エレクトロニック マテリアルズ エルエルシーRohm and Haas Electronic Materials LLC フォトレジストパターントリミング方法
TWI510854B (zh) 2011-12-31 2015-12-01 羅門哈斯電子材料有限公司 光阻劑圖案修整方法
JP5776615B2 (ja) 2012-04-11 2015-09-09 信越化学工業株式会社 パターン形成方法
US8906594B2 (en) * 2012-06-15 2014-12-09 Az Electronic Materials (Luxembourg) S.A.R.L. Negative-working thick film photoresist
JP6328931B2 (ja) 2012-12-31 2018-05-23 ローム アンド ハース エレクトロニック マテリアルズ エルエルシーRohm and Haas Electronic Materials LLC フォトレジストパターントリミング方法
WO2015129486A1 (ja) 2014-02-26 2015-09-03 日産化学工業株式会社 レジスト上層膜形成組成物及びそれを用いた半導体装置の製造方法
US10133178B2 (en) 2014-09-19 2018-11-20 Nissan Chemical Industries, Ltd. Coating liquid for resist pattern coating
TWI617611B (zh) 2014-12-31 2018-03-11 羅門哈斯電子材料有限公司 光致抗蝕劑圖案修整組合物及方法
TWI615383B (zh) * 2015-10-31 2018-02-21 羅門哈斯電子材料有限公司 熱酸產生劑以及光阻劑圖案修整組合物及方法
US9760011B1 (en) * 2016-03-07 2017-09-12 Rohm And Haas Electronic Materials Llc Pattern trimming compositions and methods
US9869933B2 (en) * 2016-03-07 2018-01-16 Rohm And Haas Electronic Materials Llc Pattern trimming methods

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2017110658A1 (ja) * 2015-12-22 2017-06-29 富士フイルム株式会社 パターン形成方法、電子デバイスの製造方法及びパターン反転用樹脂組成物
WO2018168252A1 (ja) * 2017-03-13 2018-09-20 富士フイルム株式会社 感活性光線性又は感放射線性樹脂組成物、レジスト膜、パターン形成方法、及び電子デバイスの製造方法
TW201842097A (zh) * 2017-05-01 2018-12-01 美商羅門哈斯電子材料有限公司 圖案形成方法及光致抗蝕劑圖案外塗層組合物
TW201912664A (zh) * 2017-08-31 2019-04-01 日商富士軟片股份有限公司 感光化射線性或感放射線性樹脂組成物、感光化射線性或感放射線性樹脂膜、圖案形成方法及電子元件的製造方法

Also Published As

Publication number Publication date
JP2020197709A (ja) 2020-12-10
US11506981B2 (en) 2022-11-22
CN112015050A (zh) 2020-12-01
KR20200138024A (ko) 2020-12-09
JP2023138989A (ja) 2023-10-03
US20200379351A1 (en) 2020-12-03
KR20220134493A (ko) 2022-10-05
TW202046014A (zh) 2020-12-16

Similar Documents

Publication Publication Date Title
TWI605062B (zh) 光阻圖案修整組成物及方法
CN107168013B (zh) 图案修整方法
TWI733463B (zh) 光阻劑圖案修整組成物及圖案形成方法
US11796916B2 (en) Pattern formation methods and photoresist pattern overcoat compositions
TW201623414A (zh) 光致抗蝕劑圖案修整組合物及方法
JP2019219680A (ja) パターントリミング組成物及び方法
TWI834877B (zh) 光阻劑圖案修整組成物及圖案形成方法
JP7461917B2 (ja) フォトレジストパターントリミング組成物及びフォトレジストパターンをトリミングする方法