TWI727235B - 半導體結構及其製造方法 - Google Patents

半導體結構及其製造方法 Download PDF

Info

Publication number
TWI727235B
TWI727235B TW107144314A TW107144314A TWI727235B TW I727235 B TWI727235 B TW I727235B TW 107144314 A TW107144314 A TW 107144314A TW 107144314 A TW107144314 A TW 107144314A TW I727235 B TWI727235 B TW I727235B
Authority
TW
Taiwan
Prior art keywords
metal
dielectric
dielectric layer
conductive
species
Prior art date
Application number
TW107144314A
Other languages
English (en)
Other versions
TW201943048A (zh
Inventor
陳品彣
賴加瀚
傅美惠
鄭雅憶
洪敏修
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201943048A publication Critical patent/TW201943048A/zh
Application granted granted Critical
Publication of TWI727235B publication Critical patent/TWI727235B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5386Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/7685Barrier, adhesion or liner layers the layer covering a conductive structure
    • H01L21/76852Barrier, adhesion or liner layers the layer covering a conductive structure the layer also covering the sidewalls of the conductive structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76886Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Geometry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本發明提供的實施例通常關於導電部件,例如金屬接觸物、導孔、導線等,以及形成這些導電部件的方法。在一實施例中,半導體結構包括第一介電層位於基板之上,第一導電部件位於第一介電層中,第二介電層位於第一介電層之上,第二導電部件位於第二介電層中,以及阻隔區設置於第一導電部件和第二導電部件之間。第二導電部件設置於第二介電層的第一側壁和第二介電層的第二側壁之間,並鄰接上述側壁,阻隔區至少從第二介電層的第一側壁橫向延伸至第二介電層的第二側壁。

Description

半導體結構及其製造方法
本發明實施例係關於半導體結構及其製造方法,且特別是有關於導電部件(像是金屬接觸物、導孔、導線等)及其製造方法。
半導體積體電路工業已歷經蓬勃的發展。積體電路材料及設計在技術上的進步使得每一代生產的積體電路變得比先前生產的積體電路更小且其電路也變得更複雜。在積體電路發展的進程中,功能性密度(例如:每一個晶片區域中內連接裝置的數目)已經普遍增加,而幾何尺寸(例如:製程中所能創造出最小的元件或線路)則是普遍下降。這種微縮化的過程通常可藉由增加生產效率及降低相關成本提供許多利益。
隨著裝置的微縮化,製造商開始使用新的和不同的材料及/或材料的組合來加速裝置的微縮化。單獨微縮化或結合微縮化與新的和不同的材料,也帶來了在前幾代更大的幾何尺寸下不曾存在的挑戰。
根據本發明的一實施例,提供一種半導體結構,包括:一第一介電層,位於一基板之上;一第一導電部件,位於第一介電層中;一第二介電層,位於第一介電層之上;一第二導電部件,位於第二介電層中,第二導電部件設置於第二介電層的第一側壁和第二介電層的第二側壁之間,並鄰接(abutting)第二介電層的第一側壁和第二介電層的第二側壁;以及一阻隔區,設置於第一導電部件和第二導電部件之間,阻隔區至少從第二介電層的第一側壁橫向(laterally)延伸至第二介電層的第二側壁。
根據本發明的另一實施例,提供一種半導體結構,包括:一第一介電質,位於一基板之上;一第一導電部件,穿過第一介電質,第一導電部件包括一第一金屬;一阻隔區,位於第一導電部件上,阻隔區包括第一金屬和一物種(species);一第二介電質,位於第一介電質之上;以及一第二導電部件,穿過第二介電質且與阻隔區接觸,阻隔區與第二導電部件的底部橫向地(laterally)共同延伸。
又根據本發明的另一實施例,提供一種半導體結構的製造方法,包括:形成一第一導電部件於一第一介電質中,第一導電部件包括一金屬;形成一第二介電質於第一導電部件和第一介電質之上;形成一開口,穿過第二介電質至第一導電部件;形成一阻隔區於第一導電部件上,包括提供一物種(species)穿過開口且進入第一導電部件的金屬中;以及於開口中形成一第二導電部件至阻隔區。
以下揭示提供許多不同的實施例或是例子來實行本發明實施例之不同部件。以下描述具體的元件及其排列的例子以簡化本發明實施例。當然這些僅是例子且不該以此限定本發明實施例的範圍。例如,在描述中提及第一部件形成於第二部件“之上”或“上”時,其可能包括第一部件與第二部件直接接觸的實施例,也可能包括兩者之間有其他部件形成而沒有直接接觸的實施例。另外,不同實施例可能重複使用相同的參考符號及/或標記。這些重複係為了簡化與清晰的目的,並非用以限定所討論的不同實施例及/或結構之間有特定的關係。
此外,其中可能用到與空間相關的用詞,像是“在…下方”、“下方”、“較低的”、“上方”、“較高的”、及類似的用詞,這些關係詞係為了便於描述如圖式中一個元件或部件與另一個元件或部件之間的關係。這些空間關係詞包括使用中或操作中的裝置之不同方位,以及圖式中所描述的方位。裝置可能被轉向不同方位(例如:旋轉90度或其他方位),則其中使用的空間相關形容詞也可依此做相同的解釋。
通常,本發明實施例提供關於導電部件的示例實施例,像是金屬接觸物(contacts)、導孔(vias)、導線(lines)等,以及用於形成那些導電部件的方法。在一些示例中,透過修改在導電部件表面上之導電部件的組成而形成阻隔區於導電部件上。形成於上層(overlying)介電層中的上層導電部件可形成為阻隔區。除了其他好處,阻隔區可提供對抗化學物質的保護,所述化學物質可蝕刻其上形成有阻隔層(blocking layer)的導電部件。例如,在實行形成上層導電部件的製程中,可能會使用可穿透(penetrate)上層介電質和導電部件之間的界面之化學物質。阻隔區可避免化學物質蝕刻下層(underlying)導電部件,也可達到其他好處。
此處描述的示例實施例是在用於鰭狀場效電晶體(Fin Field Effect Transistor; FinFET)的前段(Front End Of the Line; FEOL)製程及/或中間段(Middle End Of the Line; MEOL)製程中形成導電部件的背景(context)下描述的。其他實施例可在其他背景下實行,例如用於不同的裝置,像是平面場效電晶體、垂直環繞式閘極(Vertical Gate All Around; VGAA)場效電晶體、水平環繞式閘極(Horizontal Gate All Around; HGAA)場效電晶體、雙極型接面電晶體(bipolar junction transistors; BJT)、二極體、電容器、電感器、電阻器等。在一些情況中,導電部件可為裝置的一部分,像是電容器的電極板(plate)或電感器的導線(line)。此外,一些實施例可在後段(Back End Of the Line; BEOL)製程中實行及/或用於形成任何導電部件。本發明實施例一些方面的實行可以用在其他製程及/或其他裝置中。
描述示例方法和結構的一些變化。本發明所屬技術領域中具有通常知識者將輕易地理解可在其他實施例的範圍內進行的其他修改。儘管可以用特定順序描述方法實施例,但是可以用任何合乎邏輯的順序實行各種其他方法實施例,且可以包括比此處描述的步驟更少或更多的步驟。在一些圖式中,可以省略其中顯示的元件或部件的一些參考符號以避免遮蔽(obscuring)其他元件或部件;這是為了便於描繪這些圖式。
第1圖到第13圖是根據一些實施例顯示在形成導電部件的示例方法期間,各階段的各中間結構之示意圖。第1圖顯示在示例方法的一階段的中間結構之透視圖。如下所述,中間結構用於鰭狀場效電晶體的實行(implementation)。可在其他示例實施例中實行其他結構。
中間結構包括形成於半導體基板42上的第一和第二鰭片46,在相鄰鰭片46之間的半導體基板42上具有各別的(respective)隔離區域44。第一和第二虛設閘極堆疊沿著鰭片46的各個側壁設置且位於鰭片46之上。每一個第一和第二虛設閘極堆疊包括界面介電質48、虛設閘極50、和罩幕52。
半導體基板42可為或可包括塊狀半導體基板、絕緣體上半導體(semiconductor-on-insulator; SOI)基板、或其類似物,其可為經摻雜的(例如,摻雜有p-型或n-型摻雜物)或未經摻雜的。 在一些實施例中,半導體基板的半導體材料可包括元素半導體(像是矽(Si)或鍺(Ge))、化合物半導體、合金半導體、或前述之組合。
鰭片46形成於半導體基板42中。舉例而言,可使用合適的微影和蝕刻製程蝕刻半導體基板42,使得溝槽形成於相鄰的一對鰭片46之間,並使得鰭片46從半導體基板42突出。每一個隔離區域44形成於相應的溝槽中。隔離區域44可包括或可為絕緣材料,例如氧化物(像是氧化矽)、氮化物、其類似材料、或前述之組合,且可以使用合適的沉積製程來沉積絕緣材料。可在沉積絕緣材料之後使其凹陷,以形成隔離區域44。將絕緣材料凹陷可使鰭片46從相鄰的隔離區域44之間突出,如此一來,可至少部分地將鰭片46描述(delineate)為半導體基板42上的主動區域。此外,隔離區域44的頂表面可具有如圖所示的平坦表面、凸狀表面、凹狀表面(像是碟狀)、或前述之組合,其可由蝕刻製程產生。本發明所屬技術領域中具有通常知識者可輕易理解的是,上述製程僅為可如何形成鰭片46的示例。在其他的示例中,可以藉由其他製程形成鰭片46,而且鰭片46可包括異質磊晶及/或同質磊晶結構。
虛設閘極堆疊形成於鰭片46上。在此處所述的替代(replacement)閘極製程中,可以藉由合適的製程依序地形成用於虛設閘極堆疊的界面介電質48、虛設閘極50、和罩幕52的各個層,然後藉由合適的微影和蝕刻製程將這些層圖案化為虛設閘極堆疊。舉例而言,界面介電質48可包括或可為氧化矽、氮化矽、其類似材料、或前述之多層。虛設閘極50可包括或可為矽(例如,多晶矽)或另一種材料。罩幕52可包括或可為氮化矽、氮氧化矽、碳氮化矽、其類似材料、或前述之組合。
在其他的示例中,取代虛設閘極堆疊及/或除了虛設閘極堆疊之外,閘極堆疊可為閘極先製製程(gate-first process)中的操作(operational)閘極堆疊(或更一般地,閘極結構)。在閘極先製製程中,界面介電質48可為閘極介電層,而虛設閘極50可為閘極電極。可以藉由合適的製程依序地形成用於操作閘極堆疊的閘極介電層、閘極電極、和罩幕52的各個層,然後藉由合適的微影和蝕刻製程將這些層圖案化為閘極堆疊。舉例而言,閘極介電層可包括或可為氧化矽、氮化矽、高介電常數(high-k)介電材料、其類似材料、或前述之多層。高介電常數介電材料可包括鉿(Hf)、鋁(Al)、鋯(Zr)、鑭(La)、鎂(Mg)、鋇(Ba)、鈦(Ti)、鉛(Pb)的金屬氧化物或金屬矽酸鹽、前述之多層、或前述之組合。閘極電極可包括或可為矽(例如,多晶矽,其可為經摻雜的或未經摻雜的)、含金屬的材料(像是鈦、鎢、鋁、釕、或其類似材料)、或前述之組合(像是可於之後形成的矽化物)、或前述之多層。罩幕52可包括或可為氮化矽、氮氧化矽、碳氮化矽、其類似材料、或前述之組合。
第1圖更顯示出在後續圖式中所使用的參考剖面。剖面A-A是沿著例如鰭片46中的通道(channel)的平面,鰭片46的通道位於相對的源極/汲極區域之間。第2圖到第13圖和第15圖到第18圖顯示出各種示例方法中對應於剖面A-A的各個製程階段的剖面圖。 第2圖顯示出第1圖的中間結構於剖面A-A的剖面圖。
第3圖顯示閘極間隔物(gate spacer)54的形成。閘極間隔物54沿著虛設閘極堆疊的側壁(例如,界面介電質48、虛設閘極50、和罩幕52的側壁)形成,且形成於鰭片46之上。剩餘的閘極間隔物54也可沿著鰭片46的側壁形成,例如,取決於隔離區域44上方之鰭片46的高度。舉例而言,可藉由順形地(conformally)沉積用於閘極間隔物54的一層或多層,並藉由非等向性(anisotropically)蝕刻所述一層或多層來形成閘極間隔物54。用於閘極間隔物54的一層或多層可包括或可為碳氧化矽、氮化矽、氮氧化矽、碳氮化矽、其類似材料、前述之多層、或前述之組合,並且可透過化學氣相沉積(chemical vapor deposition; CVD)、原子層沉積(atomic layer deposition; ALD)、 或另一種沉積技術來沉積用於閘極間隔物54的一層或多層。蝕刻製程可包括反應性離子蝕刻(reactive ion etch; RIE)、中性粒子束蝕刻(neutral beam etch; NBE)、或另一種蝕刻製程。
第4圖顯示在鰭片46中形成磊晶源極/汲極區域56。凹陷形成於在虛設閘極堆疊兩側的鰭片46中。凹陷的形成可透過蝕刻製程進行。蝕刻製程可為等向性的(isotropic)或非等向性的(anisotropic),或者進一步地,可對半導體基板42的一個或多個晶面(crystalline planes)具有選擇性。因此,凹陷可根據所施行的蝕刻製程而具有各種剖面輪廓。
磊晶源極/汲極區域56形成於凹陷中。 磊晶源極/汲極區域56可包括或可為矽鍺、碳化矽、矽磷、矽碳磷、純鍺或大致上(substantially)純的鍺、III-V族化合物半導體、II-VI族化合物半導體、或其類似材料。可透過在凹陷中磊晶生長材料而在凹陷中形成磊晶源極/汲極區域56,例如透過金屬有機化學氣相沉積(metal-organic CVD; MOCVD)、分子束磊晶(molecular beam epitaxy; MBE)、液相磊晶(liquid phase epitaxy; LPE)、氣相磊晶(vapor phase epitaxy; VPE)、選擇性磊晶生長(selective epitaxial growth; SEG)、其類似方法、或前述之組合。 在一些示例中,磊晶源極/汲極區域56可相對於鰭片46升高且可具有刻面(facets),所述刻面可對應於半導體基板42的晶面。
本發明所屬技術領域中具有通常知識者也可輕易地理解的是,可以省略第4圖的凹陷和磊晶生長,且可透過使用虛設閘極堆疊和閘極間隔物54做為罩幕,將摻雜物植入鰭片46中來形成源極/汲極區域。在實行磊晶源極/汲極區域56的一些示例中,磊晶源極/汲極區域56也可被摻雜,例如透過磊晶生長期間的原位摻雜,及/或在磊晶生長之後將摻雜物植入磊晶源極/汲極區域56中。因此,可以透過摻雜(例如,透過佈植(implantation)及/或在磊晶生長期間的原位摻雜,如果合適的話)及/或透過磊晶生長來描述(delineate)源極/汲極區域,如果合適的話,可進一步在所描述的源極/汲極區域中描述主動區域。
第5圖顯示出接觸蝕刻停止層(contact etch stop layer; CESL)60和位於接觸蝕刻停止層60之上的第一層間介電質(interlayer dielectric; ILD)62的形成。通常,蝕刻停止層(etch stop layer; ESL)可在形成例如接觸物或導孔時提供停止蝕刻製程的機制。蝕刻停止層可由與相鄰層或元件具有不同蝕刻選擇性的介電材料形成。接觸蝕刻停止層60可順形地沉積於磊晶源極/汲極區域56的表面、閘極間隔物54的側壁和頂表面、罩幕52的頂表面、和隔離區域44的頂表面上。接觸蝕刻停止層60可包括或可為氮化矽、碳氮化矽、碳氧化矽、碳氮化物、其類似材料、或前述之組合,並且可透過化學氣相沉積(CVD)、電漿輔助化學氣相沉積(PECVD)、原子層沉積(ALD)、或另一種沉積技術來沉積接觸蝕刻停止層60。第一層間介電質62可包括或可為二氧化矽、低介電常數(low-k)介電材料(例如,介電常數低於二氧化矽的介電常數之材料)例如氮氧化矽、磷矽酸鹽玻璃(phosphosilicate glass; PSG)、硼矽酸鹽玻璃(borosilicate glass; BSG)、硼磷矽酸鹽玻璃(borophosphosilicate glass; BPSG)、未經摻雜的矽酸鹽玻璃(undoped silicate glass; USG)、氟化矽酸鹽玻璃(fluorinated silicate glass; FSG)、有機矽酸鹽玻璃(organosilicate glasses; OSG)、SiOx Cy 、旋塗玻璃、旋塗聚合物、矽碳材料、前述材料之化合物、前述之組成物、其類似材料、或前述之組合。可以透過旋塗、化學氣相沉積(CVD)、流動式化學氣相沉積(Flowable CVD;FCVD)、電漿輔助化學氣相沉積(PECVD)、物理氣相沉積(PVD)、或另一種沉積技術來沉積第一層間介電質62。
在沉積第一層間介電質62之後可將其平坦化,例如透過化學機械平坦化(CMP)。在閘極先製製程(gate-first process)中,第一層間介電質62的頂表面可位於接觸蝕刻停止層60和閘極堆疊的上部上方,並且可省略以下參照第6圖和第7圖所述之製程。 因此,接觸蝕刻停止層60和第一層間介電質62的上部可保留在閘極堆疊之上。
第6圖顯示以替代閘極結構取代虛設閘極堆疊。第一層間介電質62和接觸蝕刻停止層60形成的頂表面與虛設閘極50的頂表面共平面。可以進行平坦化製程(例如化學機械平坦化(CMP))以使第一層間介電質62和接觸蝕刻停止層60的頂表面與虛設閘極50的頂表面齊平。化學機械平坦化(CMP)也可去除虛設閘極50上的罩幕52(以及,在一些情況下,閘極間隔物54的上部)。於是,虛設閘極50的頂表面透過第一層間介電質62和接觸蝕刻停止層60而暴露出來。
在虛設閘極50透過第一層間介電質62和接觸蝕刻停止層60而暴露出來的情況下,藉由例如一個或多個蝕刻製程移除虛設閘極50。可透過對虛設閘極50具有選擇性的蝕刻製程來移除虛設閘極50,其中界面介電質48做為蝕刻停止層(ESL),並且,之後可選地(optionally)透過對界面介電質48具有選擇性的不同蝕刻製程來移除界面介電質48。蝕刻製程可例如為反應性離子蝕刻(RIE)、中性粒子束蝕刻(NBE)、濕蝕刻、或另一種蝕刻製程。凹陷形成於移除掉虛設閘極堆疊的閘極間隔物54之間,且鰭片46的通道區域透過凹陷而暴露出來。
替代閘極結構形成於移除掉虛設閘極堆疊的凹陷中。如圖所示,每一個替代閘極結構包括界面介電質70、閘極介電層72、一個或多個可選的(optional)順形層74、以及閘極電極76。界面介電質70沿著通道區域形成於鰭片46的側壁和頂表面上。界面介電質70可例如為界面介電質48(如果沒有被移除)、透過鰭片46的熱氧化或化學氧化所形成的氧化物(例如,氧化矽)、及/或透過化學氣相沉積(CVD)、原子層沉積(ALD)、分子束沉積(molecular beam deposition; MBD)、或另一種沉積技術形成之氧化物(例如,氧化矽)、氮化物 (例如,氮化矽)、及/或另一種介電層。
閘極介電層72可順形地沉積在移除掉虛設閘極堆疊的凹陷中(例如,在隔離區域44的頂表面上、界面介電質70上、和閘極間隔物54的側壁上)以及第一層間介電質62、接觸蝕刻停止層60、和閘極間隔物54的頂表面上。閘極介電層72可為或可包括氧化矽、氮化矽、高介電常數(high-k)介電材料、前述之多層、或其他介電材料。高介電常數介電材料可包括鉿(Hf)、鋁(Al)、鋯(Zr)、鑭(La)、鎂(Mg)、鋇(Ba)、鈦(Ti)、鉛(Pb)的金屬氧化物或金屬矽酸鹽、前述之多層、或前述之組合。可透過原子層沉積(ALD)、電漿輔助化學氣相沉積(PECVD)、分子束沉積(MBD)、或另一種沉積技術來沉積閘極介電層72。
接著,可在閘極介電層72上順形地(並且依序地,如果不止一層)沉積一層或多層可選的順形層74。所述一層或多層可選的順形層74可包括一層或多層的阻障層及/或覆蓋層、以及一層或多層的功函數調整層(work-function tuning layers)。所述一層或多層的阻障層及/或覆蓋層可包括鉭及/或鈦的氮化物、矽氮化物、碳氮化物、及/或鋁氮化物;鎢的氮化物、碳氮化物、及/或碳化物;其類似材料;或前述之組合;並且,可透過原子層沉積(ALD)、電漿輔助化學氣相沉積(PECVD)、分子束沉積(MBD)、或另一種沉積技術來沉積所述一層或多層的阻障層及/或覆蓋層。所述一層或多層的功函數調整層可包括或可為鈦及/或鉭的氮化物、矽氮化物、碳氮化物、鋁氮化物、鋁氧化物、及/或鋁碳化物;鎢的氮化物、碳氮化物、及/或碳化物;鈷;鉑;其類似材料;或前述之組合;並且,可透過原子層沉積(ALD)、電漿輔助化學氣相沉積(PECVD)、分子束沉積(MBD)、或另一種沉積技術來沉積所述一層或多層的功函數調整層。在一些示例中,在閘極介電層72上順形地形成一覆蓋層(例如,TiN層);在覆蓋層上順形地形成一阻障層(例如,TaN層);在阻障層上依序順形地形成一層或多層的功函數調整層。
在一層或多層可選的順形層74之上(例如,在一層或多層的功函數調整層之上)(如果實行的話),及/或閘極介電層72之上形成用於閘極電極76的層。用於閘極電極76的層可填充移除掉虛設閘極堆疊而留下的(remaining)凹陷。用於閘極電極76的層可為或可包括含金屬的材料,例如鎢、鈷、鋁、釕、銅、前述之多層、前述之組合、或其類似材料。可透過原子層沉積(ALD)、電漿輔助化學氣相沉積(PECVD)、分子束沉積(MBD)、物理氣相沉積(PVD)、或另一種沉積技術來沉積用於閘極電極76的層。將用於閘極電極76的層、一層或多層可選的順形層74、和閘極介電層72位於第一層間介電質62、接觸蝕刻停止層60、和閘極間隔物54的頂表面上方的部分移除。例如,像是化學機械平坦化(CMP)的平坦化製程可移除用於閘極電極76的層、一個或多個可選的順形層74、和閘極介電層72位於第一層間介電質62、接觸蝕刻停止層60、和閘極間隔物54的頂表面上方的部分。因此,可形成如第6圖所示之包括閘極電極76、一層或多層可選的順形層74、閘極介電層72、以及界面介電質70的替代閘極結構。
第7圖顯示在第一層間介電質62、接觸蝕刻停止層60、閘極間隔物54、和替代閘極結構之上形成第二層間介電質80。儘管未顯示,但是在一些示例中,可沉積蝕刻停止層(ESL)於第一層間介電質62等之上,且可沉積第二層間介電質80於蝕刻停止層之上。如果實行的話,蝕刻停止層可包括或可為氮化矽、碳氮化矽、碳氧化矽、碳氮化物、其類似材料、或前述之組合,且可透過化學氣相沉積(CVD)、電漿輔助化學氣相沉積(PECVD)、原子層沉積(ALD)、或另一種沉積技術來沉積蝕刻停止層。第二層間介電質80可包括或可為二氧化矽、低介電常數(low-k)介電材料例如氮氧化矽、磷矽酸鹽玻璃(PSG)、硼矽酸鹽玻璃(BSG)、硼磷矽酸鹽玻璃(BPSG)、未經摻雜的矽酸鹽玻璃(USG)、氟化矽酸鹽玻璃(FSG)、有機矽酸鹽玻璃(OSG)、SiOx Cy 、旋塗玻璃、旋塗聚合物、矽碳材料、前述之化合物、前述之組成物、其類似材料、或前述之組合。可以透過旋塗、化學氣相沉積(CVD)、流動式化學氣相沉積(FCVD)、電漿輔助化學氣相沉積(PECVD)、物理氣相沉積(PVD)、或另一種沉積技術來沉積第二層間介電質80。
第8圖顯示出穿過第二層間介電質80、第一層間介電質62、和接觸蝕刻停止層60以暴露至少一部分的磊晶源極/汲極區域56,以及穿過第二層間介電質80以暴露至少一部分的替代閘極結構的各別開口82和84的形成。可使用例如微影及一個或多個蝕刻製程將第二層間介電質80、第一層間介電質62、和接觸蝕刻停止層60圖案化,使其具有開口82和84。
第9圖顯示分別在開口82和84中形成導電部件90和92至磊晶源極/汲極區域56和替代閘極結構。在所顯示的示例中,導電部件90包括像是黏合層94、位於黏合層94上的阻障層96、位於磊晶源極/汲極區域56上的矽化物區域98、以及位於阻障層96上的導電填充材料100。在所顯示的示例中,導電部件92包括像是黏合層94、位於黏合層94上的阻障層96、以及位於阻障層96上的導電填充材料100。
黏合層94可順形地沉積於開口82和84中(例如,在開口82和84的側壁、磊晶源極/汲極區域56的暴露表面、和替代閘極結構的暴露表面上)以及第二層間介電質80之上。黏合層94可為或可包括鈦、鉭、其類似材料、或前述之組合,並且可透過原子層沉積(ALD)、化學氣相沉積(CVD)、物理氣相沉積(PVD)、或另一種沉積技術來沉積黏合層94。阻障層96可順形地沉積於黏合層94上,例如在開口82和84中以及第二層間介電質80上。阻障層96可為或可包括氮化鈦、氧化鈦、氮化鉭、氧化鉭、其類似材料、或前述之組合,並且可透過原子層沉積(ALD)、化學氣相沉積(CVD)、或另一種沉積技術來沉積阻障層96。在一些示例中,至少一部分的黏合層94可經處理以形成阻障層96。舉例而言,可以在黏合層94上進行氮化製程(像是包括氮電漿製程),以將至少一部分的黏合層94轉換為阻障層96。在一些示例中,黏合層94可被完全轉換,這樣一來就沒有留下黏合層94,且阻障層96為一黏合/阻障層,然而在其他的示例中,一部分的黏合層94保持未轉換,這樣一來該部分的黏合層94和位於黏合層94上的阻障層96一起留下。
透過使磊晶源極/汲極區域56的上部與黏合層94及可能的阻障層96反應,可在磊晶源極/汲極區域56上形成矽化物區域98。可進行退火以促進磊晶源極/汲極區域56與黏合層94及/或阻障層96的反應。
可將導電填充材料100沉積於阻障層96上並填充開口82和84。導電填充材料100可為或可包括鈷、鎢、銅、釕、鋁、金、銀、前述之合金、其類似材料、或前述之組合,並且可透過化學氣相沉積(CVD)、原子層沉積(ALD)、物理氣相沉積(PVD)、或另一種沉積技術來沉積導電填充材料100。在沉積導電填充材料100之後,可以使用例如平坦化製程(像是化學機械平坦化(CMP))移除多餘的導電填充材料100、阻障層96、及黏合層94。平坦化製程可以從第二層間介電質80的頂表面上方移除多餘的導電填充材料100、阻障層96、及黏合層94。因此,導電部件90和92的頂表面與第二層間介電質80的頂表面可為共平面的。導電部件90和92可為或可稱為接觸物、插塞(plugs)等。
雖然第8圖和第9圖顯示同時形成導電部件90至磊晶源極/汲極區域56,並形成導電部件92至替代閘極結構,但是可分別並依序地形成各個導電部件90和92。例如,如第8圖所示,可先形成開口82至磊晶源極/汲極區域56,並如第9圖所示,將其填充以形成導電部件90至磊晶源極/汲極區域56。接著,如第8圖所示,可形成開口84至替代閘極結構,並如第9圖所示,將其填充以形成導電部件92至替代閘極結構。可實行另一種製程順序。
第10圖顯示出蝕刻停止層(ESL)110和位於蝕刻停止層110之上的金屬間介電質(IMD)112的形成。將蝕刻停止層110沉積在第二層間介電質80及導電部件90和92的頂表面上。蝕刻停止層110可包括或可為氮化矽、碳氮化矽、碳氧化矽、碳氮化物、其類似材料、或前述之組合,並且可透過化學氣相沉積(CVD)、電漿輔助化學氣相沉積(PECVD)、原子層沉積(ALD)、或另一種沉積技術來沉積蝕刻停止層110。金屬間介電質112可包括或可為二氧化矽、低介電常數(low-k)介電材料例如氮氧化矽、磷矽酸鹽玻璃(PSG)、硼矽酸鹽玻璃(BSG)、硼磷矽酸鹽玻璃(BPSG)、未經摻雜的矽酸鹽玻璃(USG)、氟化矽酸鹽玻璃(FSG)、有機矽酸鹽玻璃(OSG)、SiOx Cy 、旋塗玻璃、旋塗聚合物、矽碳材料、前述之化合物、前述之組成物、其類似材料、或前述之組合。可透過旋塗、化學氣相沉積(CVD)、流動式化學氣相沉積(FCVD)、電漿輔助化學氣相沉積(PECVD)、物理氣相沉積(PVD)、或另一種沉積技術來沉積金屬間介電質112。蝕刻停止層110的厚度範圍可介於約3nm至約100nm,且金屬間介電質112的厚度範圍可介於約5nm至約100nm。金屬間介電質112和蝕刻停止層110結合的厚度範圍可介於約5nm至約200nm。
第11圖顯示穿過金屬間介電質112和蝕刻停止層110分別形成開口120和122至導電部件90和92。可使用例如微影及一個或多個蝕刻製程將金屬間介電質112和蝕刻停止層110圖案化,使其具有開口120和122。蝕刻製程可包括反應性離子蝕刻(RIE)、中性粒子束蝕刻(NBE)、感應耦合電漿(ICP)蝕刻、電容耦合電漿(capacitively coupled plasma; CCP)蝕刻、離子束蝕刻(ion beam etch; IBE)、其類似方法、或前述之組合。蝕刻製程可為非等向性的。在一些示例中,蝕刻製程可包括使用包含四氟化碳(CF4 )、六氟乙烷(C2 F6 )、八氟丙烷(C3 F8 )、氟仿(CHF3 )、二氟甲烷(CH2 F2 )、氟代甲烷(CH3 F)、氟化碳(例如,Cx Fy ,其中x可在1至5的範圍內,且y可在4至8的範圍內)的第一氣體的電漿、其類似材料、或前述之組合。電漿可進一步使用包含氮氣(N2 )、氫氣(H2 )、氧氣(O2 )、氬氣(Ar)、氙氣(Xe)、氦氣(He)、一氧化碳(CO)、二氧化碳(CO2 )、硫化羰(carbonyl sulfide; COS)的第二氣體、其類似材料、或前述之組合。在一些示例中,第一氣體的流速與第二氣體的流速的比值範圍可介於約0.001至約1000。在一些示例中,第一氣體的流速範圍可介於約5標準立方厘米/每分鐘(standard cubic centimeter per minute; sccm)至約500 sccm,而第二氣體的流速範圍可介於約5sccm至約500sccm。電漿蝕刻的壓力範圍可介於約5毫托至約120毫托。用於電漿蝕刻的電漿產生器之功率範圍可介於約30W至約5000W。用於電漿蝕刻的電漿產生器之頻率範圍可例如為40KHz、2 MHz、13.56MHz、或者可介於約12MHz至約100MHz。電漿蝕刻的基板偏壓(bias voltage)範圍可介於約20V至約500V,且工作週期(duty cycle)的範圍介於約10%至約60%。
可透過例如濕式清洗製程和乾電漿製程來清潔開口120和122。濕式清洗製程可包括將半導體基板42浸入包含混合酸及/或類似物的溶液中。在浸沒(immersion)期間,溶液的溫度範圍可介於約15℃至約65℃。半導體基板42可浸在溶液中持續約5秒至約200秒。在溶液中浸沒之後,可在去離子水中潤洗半導體基板42。在溶液中浸沒之後,也可在異丙醇(isopropyl alcohol; IPA)中潤洗半導體基板42,以使半導體基板42乾燥。
在濕式清洗製程之後,可進行乾電漿製程以進一步清潔開口120和122。電漿製程可移除形成於開口120和122中的氧化物(例如,在導電填充材料100的表面上)。 在一些示例中,電漿製程可包括使用包含氫氣(H2 )、氮氣(N2 )、其類似氣體、前述之組合的氣體的電漿。在一些示例中,所述氣體的流速範圍可介於約10sccm至約10,000sccm。電漿製程的壓力範圍可介於約0.1毫托至約100托。用於電漿製程的電漿產生器之功率範圍可介於約50W至約1000 W。用於電漿製程的電漿產生器之頻率範圍可介於約350kHz至約40MHz。電漿製程的基板偏壓範圍可介於約0 V至約1kV,且工作週期的範圍介於約30%至約70%。
第12圖顯示分別形成阻隔區130和132於透過開口120和122暴露出來的導電部件90和92的部分上。以不同於導電部件90和92的下層導電填充材料100之材料組成分別形成阻隔區130和132。阻隔區130和132可透過在導電部件90和92(例如,導電填充材料100)中提供一物種(species)而形成,其改變由開口120和122暴露出來的導電部件90和92的頂表面部分之材料組成。阻隔區130和132分別形成於透過開口120和122暴露出來的表面部分,而不是在未暴露的表面部分(例如,由蝕刻停止層110及/或金屬間介電質112覆蓋的表面部分)。因此,在此示例中,在靠近開口120和122底部處,阻隔區130和132的橫向尺寸與各個開口120和122的橫向尺寸共同延伸(例如,在蝕刻停止層110及/或金屬間介電質112的側壁之間)。
在一些示例中,可透過將物種擴散至導電部件90和92中,而在導電部件90和92中提供所述物種。在一些示例中,可使用不含電漿的化學浸泡、電漿處理、或另一種技術來實行所述物種的擴散。
在一示例中,可透過化學氣相沉積(CVD)製程來實行不含電漿的化學浸泡。化學浸泡中所使用的氣體混合物可包括矽烷(SiH4 )(做為一示例)和載體氣體(像是氬氣)。所述矽烷氣體可提供用以擴散至導電部件90和92中的含矽物種(silicon-containing species)。例如,來自矽烷的矽可擴散至導電部件90和92中並與導電部件90和92反應,而來自矽烷的氫可成為矽和導電部件90和92反應之副產物,並且可經由氣流清除,及/或也可擴散至導電部件90和92中。因此,阻隔區130和132可為矽化物區域。在不含電漿的化學氣相沉積(CVD)製程中,矽烷氣體的流速與氬氣的流速之比值範圍可介於約0.01至約0.2。矽烷氣體的流速範圍可介於約50sccm至約500sccm,且氬氣的流速範圍可介於約1000sccm至約8000sccm。化學氣相沉積製程的壓力範圍可介於約10托至約50托。化學氣相沉積製程的溫度範圍可介於約200℃至約450℃。不含電漿的化學浸泡期間可持續約10秒至約150秒。
在另一個例子中,可透過具有直接電漿的化學氣相沉積製程(例如,電漿輔助化學氣相沉積(PECVD)製程)來實行電漿處理。電漿處理中所使用的氣體混合物可包括矽烷(SiH4 )(做為一示例)和載體氣體(像是氬氣)。類似於上述之化學浸泡,矽烷氣體可以提供用以擴散至導電部件90和92中的含矽物種,且阻隔區130和132可為矽化物區域。在具有直接電漿的化學氣相沉積製程中,矽烷氣體的流速與氬氣的流速之比值範圍可介於約10-3 至約0.2。矽烷氣體的流速範圍可介於約1sccm至約500sccm,且氬氣的流速範圍可介於約1000 sccm至約8000sccm。化學氣相沉積製程的壓力範圍可介於約0.1托至約100托。化學氣相沉積製程的溫度範圍可介於約150℃至約300℃。電漿產生器的功率範圍可介於約200W至約500W,且電漿產生器的頻率範圍可介於約2MHz至約40 MHz,例如約13.56MHz。電漿處理可為順形的(conformal),例如不對化學氣相沉積製程的基板載具(holder)施加偏壓(biasing),或者可為定向的(directional),例如對基板載具施加偏壓。電漿處理的期間可持續約5秒至約120秒。
在一些示例中,可透過將所述物種植入導電部件90和92中,而在導電部件90和92中提供所述物種。在一示例中,用於佈植的物種可包括磷、硼、及/或其類似物。在這樣的示例中,佈植的能量範圍可介於約0.5 keV至約5 keV,劑量濃度的範圍可介於約1012 cm-2 至約1017 cm-2
可以使用不同的技術來改變由開口120和122暴露的導電部件90和92的頂表面的材料組成。此外,在上述示例及/或不同技術中,不同物種可用於擴散及/或植入導電部件90和92中。例如,可施加鍺、氮、碳、砷、錫、及/或其他物種。做為示例,在前述實施例的化學浸泡和電漿處理中,鍺烷(GeH4 )可以代替矽烷或與矽烷一起施加,其可形成鍺化物(germanide)做為阻隔區130和132。此外,可以植入鍺以形成鍺化物做為阻隔區130和132。類似的,氮可用於化學浸泡、電漿處理、及佈植中,以形成金屬氮化物做為阻隔區130和132。可施加其他物種以形成不同的組成物做為阻隔區130和132。
通常,阻隔區130和132可具有MSx 的形式,其中“M”是導電部件90和92頂表面的金屬,“S”是經植入以修改導電部件90和92頂表面之材料組成的物種,而“x”是物種S的濃度。做為具體示例,導電填充材料100可為鈷(Co)、鎢(W)、銅(Cu)、釕(Ru)等,且所述物種可為矽(Si)、鍺(Ge)、硼(B)、砷(As)、磷(P)、氮(N)、碳(C)、錫(Sn)等。因此,阻隔區130和132可為或可包括金屬-半導體化合物,像是CoSix 、CoGex 、CoBx 、CoAsx 、WSix 、WGex 、WBx 、WAsx 、CuSix 、CuGex 、CuBx 、CuAsx 、RuSix 、RuGex 、RuBx 、 RuAsx 等;金屬-非金屬化合物,像是CoPx 、CoNx 、CoCx 、WPx 、WNx 、WCx 、CuPx 、CuNx 、CuCx 、RuPx 、RuNx 、RuCx 等;或金屬-金屬化合物,像是CoSnx 、WSnx 、CuSnx 、RuSnx 等。對於任何金屬-半導體化合物,x值的範圍可介於約1至約8。阻隔區130和132的厚度範圍可介於約3nm至約5nm。
第13圖顯示分別在開口120和122中形成導電部件140和142至阻隔區130和132。如圖所示,每一個導電部件140和142包括例如導電填充材料146。可將導電填充材料146沉積於開口120和122中並填充開口120和122。導電填充材料146可為或可包括鎢、鈷、銅、釕、鋁、金、銀、前述之合金、其類似材料、或前述之組合,並且可透過化學氣相沉積(CVD)、原子層沉積(ALD)、物理氣相沉積(PVD)、電鍍、或另一種沉積技術來沉積導電填充材料146。在沉積導電填充材料146之後,可使用例如平坦化製程(像是化學機械平坦化(CMP))移除多餘的導電填充材料146。平坦化製程可從金屬間介電質112的頂表面上方移除多餘的導電填充材料146。因此,導電部件140和142的頂表面與金屬間介電質112的頂表面可為共平面。導電部件140和142可為或可稱為接觸物、插塞、導線、導電墊(conductive pads)、導孔等。
在一些示例中,在將導電填充材料146沉積於阻障層及/或黏合層上及開口120和122中之前,可在開口120和122中形成阻障層及/或黏合層。阻障層及/或黏合層可順形地沉積於開口120和122中(例如,在阻隔區130和132上並且沿著金屬間介電質112的側壁)及金屬間介電質112之上。阻障層及/或黏合層可為或可包括氮化鈦、氧化鈦、氮化鉭、氧化鉭、其類似材料、或前述之組合,並且可透過原子層沉積(ALD)、化學氣相沉積(CVD)、或另一種沉積技術來沉積阻障層及/或黏合層。從金屬間介電質112的頂表面上方移除多餘的導電填充材料146之平坦化製程也可以移除金屬間介電質112之上的阻障層及/或黏合層,因此,阻障層及/或黏合層可具有與導電填充材料146和金屬間介電質112的頂表面共平面的頂表面。
在此示例中,導電部件140和142各自的底部分別具有與阻隔區130和132的對應橫向尺寸共同延伸的橫向尺寸。這通常來自先透過開口120和122分別形成阻隔區130和132,且隨後在開口120和122中分別形成導電部件140和142。
第14圖是根據一些實施例顯示形成導電部件的示例方法之流程圖。在操作202中,形成第一導電部件於第一介電層中。操作202的示例顯示於第8圖和第9圖中,並參照第8圖和第9圖進行描述。例如,導電部件90形成於第二層間介電質80、第一層間介電質62、和接觸蝕刻停止層60中。
在操作204中,形成第二介電層於第一導電部件和第一介電層之上。操作204的示例顯示於第10圖中,並參照第10圖進行描述。例如,蝕刻停止層110和金屬間介電質112形成於導電部件90以及第二層間介電質80、第一層間介電質62、和接觸蝕刻停止層60之上。
在操作206中,形成穿過第二介電層至第一導電部件的開口。操作206的示例顯示於第11圖中,並參照第11圖進行描述。例如,形成開口120穿過蝕刻停止層110和金屬間介電質112至導電部件90。
在操作208中,形成阻隔區於經由穿過第二介電層的開口暴露出來的第一導電部件上。操作208的示例顯示於第12圖中,並參照第12圖進行描述。例如,阻隔區130形成於經由開口120暴露出來的導電部件90上。
在操作210中,形成第二導電部件於穿過第二介電層的開口中並與阻隔區接觸。操作210的示例顯示於第13圖中,並參照第13圖進行描述。例如,導電部件140形成於開口120中並與阻隔區130接觸。
第15圖到第18圖是根據一些實施例顯示在形成導電部件的另一示例方法期間,各個階段的各中間結構之剖面圖。製程如前所述進行至第9圖,並參照下述第15圖的製程繼續進行。
第15圖顯示在導電部件90和92的頂表面形成阻隔區130和132。阻隔區130和132的形成可如上所述參照第12圖進行,因此,為達簡潔之目的,在此省略進一步的描述。在此示例中,阻隔區130和132可各自形成於導電部件90和92的整個頂表面各處。
第16圖顯示蝕刻停止層110和位於蝕刻停止層110之上的金屬間介電質112的形成。蝕刻停止層110形成於第二層間介電質80的頂表面之上,以及分別在導電部件90和92上的阻隔區130和132之上。金屬間介電質112形成於蝕刻停止層110之上。蝕刻停止層110和金屬間介電質112的形成可如上所述參照第10圖進行,因此,為達簡潔之目的,在此省略進一步的描述。
第17圖顯示穿過金屬間介電質112和蝕刻停止層110,形成開口120和122分別至導電部件90和92上的阻隔區130和132。開口120和122的形成可如上所述參照第11圖進行,因此,為達簡潔之目的,在此省略進一步的描述。
第18圖顯示分別在開口120和122中形成導電部件140和142至阻隔區130和132。導電部件140和142的形成可如上所述參照第13圖進行,因此,為達簡潔之目的,在此省略進一步的描述。在此示例中,導電部件140和142可不具有分別與阻隔區130和132的對應橫向尺寸共同延伸的橫向尺寸。這可能是因為阻隔區130和132形成於導電部件90和92的整個頂表面上(例如,在介電層形成於導電部件90和92上之前),且隨後分別形成於開口120和122中的導電部件140和142可以不必與導電部件90和92的頂表面共同延伸。
第19圖是根據一些實施例顯示形成導電部件的另一示例方法之流程圖。在操作252中,形成第一導電部件於第一介電層中。操作252的示例顯示於第8圖和第9圖中,並參照第8圖和第9圖進行描述。例如,導電部件90形成於第二層間介電質80、第一層間介電質62、和接觸蝕刻停止層60中。
在操作254中,形成阻隔區於第一導電部件上。操作254的示例顯示於第15圖中,並參照第15圖進行描述。例如,阻隔區130形成於導電部件90上。
在操作256中,形成第二介電層於阻隔區、第一導電部件、和第一介電層之上。操作256的示例顯示於第16圖中,並參照第16圖進行描述。例如,蝕刻停止層110和金屬間介電質112形成於阻隔區130、導電部件90、以及第二層間介電質80、第一層間介電質62、和接觸蝕刻停止層60之上。
在操作258中,形成穿過第二介電層至阻隔區的開口。操作258的示例顯示於第17圖中,並參照第17圖進行描述。例如,形成開口120穿過蝕刻停止層110和金屬間介電質112至阻隔區130。
在操作260中,形成第二導電部件於穿過第二介電層的開口中並與阻隔區接觸。操作260的示例顯示於第18圖中,並參照第18圖進行描述。例如,導電部件140形成於開口120中並與阻隔區130接觸。
第20圖是根據一些實施例顯示一示例結構的能量色散X射線光譜(energy-dispersive X-ray spectroscopy; EDX)分析。EDX分析跨越導電部件140的導電填充材料146、阻隔區130、和導電部件90的導電填充材料100。導電填充材料100為第一金屬(例如,鈷),其具有第一濃度輪廓300。經植入以形成阻隔區130的物種(例如,矽)具有第二濃度輪廓302。導電填充材料146為第二金屬(例如,鎢),其具有第三濃度輪廓304。如在EDX分析中可看到的,阻隔區130包括所述物種和第一金屬(例如,CoSix )。
一些實施例可以達到許多優點。用於移除例如多餘的導電填充材料146的平坦化製程(例如,化學機械平坦化(CMP))和任何後續的濕式清洗可使用濕式化學物質,其可能會穿透(penetrate)導電部件140和142與金屬間介電質112之間的各個界面,特別是如果金屬間介電質112與導電部件140和142之間的黏附力很差時,例如當導電部件140和142中沒有阻障層及/或黏合層時。如果濕式化學物質穿透到一足夠的深度,則濕式化學物質有可能會在沒有阻隔層(blocking layer)的情況下到達並蝕刻下層導電部件90和92。在一些示例中,例如此處所提供的,阻隔區130和132可提供不同的材料組成,其可以扮演蝕刻停止作用,這樣可避免濕式化學物質蝕刻下層導電部件90和92。此外,在一些實施方式中,阻隔區130和132可在下層導電部件90和92與金屬間介電質112之間提供改善的黏附性,例如當阻隔區130和132為矽化物時。更進一步地,阻隔區130和132可提供更有利於沉積導電填充材料146的表面,例如當實行選擇性沉積製程來沉積導電填充材料146時。這可以改善圖案負荷(pattern loading),並且可以增進膜生長。因此,阻隔區130和132可提供更穩固的結構。
一實施例為一結構。所述結構包括位於基板之上的第一介電層;位於第一介電層中的第一導電部件;位於第一介電層之上的第二介電層;位於第二介電層中的第二導電部件;以及設置於第一導電部件和第二導電部件之間的阻隔區。第二導電部件設置於第二介電層的第一側壁和第二介電層的第二側壁之間,並鄰接(abutting)第二介電層的第一側壁和第二介電層的第二側壁。阻隔區至少從第二介電層的第一側壁橫向延伸至第二介電層的第二側壁。
在一些實施例中,所述阻隔區不位於第一導電部件和第二介電層之間。
在一些實施例中,所述阻隔區至少部分地位於第一導電部件和第二介電層之間,且阻隔區不位於第一介電層和第二介電層之間。
在一些實施例中,所述第一導電部件包括一金屬元素,且阻隔區包括一半導體元素和與第一導電部件的金屬元素相同的金屬元素。
在一些實施例中,所述第一導電部件包括一金屬元素,且阻隔區包括一非金屬元素和與第一導電部件的金屬元素相同的金屬元素。
在一些實施例中,所述第一導電部件包括一金屬元素,且阻隔區包括與第一導電部件的金屬元素不同的金屬元素,和與第一導電部件的金屬元素相同的金屬元素。
在一些實施例中,所述阻隔區的厚度範圍介於3nm至5nm。
在一些實施例中,所述第一導電部件包括一金屬元素,且阻隔區包括一物種和與第一導電部件的金屬元素相同的金屬元素,所述物種包括矽、鍺、硼、砷、磷、氮、碳、錫中的至少一者、或前述之組合。
在一些實施例中,所述阻隔區包括一矽化物。
一實施例為一方法。此方法包括形成第一導電部件於第一介電質中;藉由修改第一導電部件的組成(composition)而形成阻隔區於第一導電部件上;形成第二導電部件於第二介電層中,第二導電部件與阻隔區接觸;以及形成第二介電層於第一介電層之上。
另一實施例為一結構。所述結構包括位於基板之上的第一介電質、穿過第一介電質的第一導電部件、位於第一導電部件上的阻隔區、位於第一介電質之上的第二介電質、以及穿過第二介電質且與阻隔區接觸的第二導電部件。第一導電部件包括第一金屬。阻隔區包括第一金屬和一物種(species)。阻隔區與第二導電部件的底部橫向共同延伸。
在一些實施例中,所述物種為半導體,阻隔區為金屬-半導體化合物。
在一些實施例中,所述物種為非金屬,阻隔區為金屬-非金屬化合物。
在一些實施例中,所述物種為與第一金屬不同的第二金屬,阻隔區為金屬-金屬化合物。
在一些實施例中,所述阻隔區的厚度範圍介於3nm至5nm。
又一實施例為一方法。此方法包括形成第一導電部件於第一介電質中,第一導電部件包括一金屬;形成第二介電質於第一導電部件和第一介電質之上;形成開口穿過第二介電質至第一導電部件;形成阻隔區於第一導電部件上,此步驟包括透過開口提供一物種至第一導電部件的金屬中;以及形成第二導電部件於開口中且至阻隔區。
在一些實施例中,透過開口提供所述物種至第一導電部件的金屬中包括透過開口將金屬暴露於一氣態化學浸泡,其中所述物種從氣態化學浸泡的一氣體擴散至金屬中。
在一些實施例中,透過開口提供所述物種至第一導電部件的金屬中包括透過開口將金屬暴露於一電漿處理,其中所述物種從電漿處理的一電漿擴散至金屬中。
在一些實施例中,透過開口提供所述物種至第一導電部件的金屬中包括以所述物種植入金屬。
在一些實施例中,所述物種包括一含矽物種。
在一些實施例中,所述阻隔區為一矽化物。
前述內文概述了許多實施例的部件,以使本發明所屬技術領域中具有通常知識者可以從各個方面更好地了解本發明實施例。本發明所屬技術領域中具有通常知識者應可理解,可輕易地以本發明實施例為基礎來設計或修改其他製程及結構,並以此達到相同的目的及/或達到與在此介紹的實施例等相同之優點。本發明所屬技術領域中具有通常知識者也應了解,這些等效的結構並未背離本發明的精神與範圍。在不背離本發明的精神與範圍之前提下,可對本發明實施例進行各種改變、置換或修改。
42‧‧‧半導體基板44‧‧‧隔離區域46‧‧‧鰭片48、70‧‧‧界面介電質50‧‧‧虛設閘極52‧‧‧罩幕54‧‧‧閘極間隔物56‧‧‧磊晶源極/汲極區域60‧‧‧接觸蝕刻停止層62‧‧‧第一層間介電質72‧‧‧閘極介電層74‧‧‧順形層76‧‧‧閘極電極80‧‧‧第二層間介電質82、84、120、122‧‧‧開口90、92、140、142‧‧‧導電部件94‧‧‧黏合層96‧‧‧阻障層98‧‧‧矽化物區域100、146‧‧‧導電填充材料110‧‧‧蝕刻停止層112‧‧‧金屬間介電質130、132‧‧‧阻隔區202~210、252~260‧‧‧操作
本發明實施例可配合以下圖式及詳細說明閱讀以便了解。要強調的是,依照工業上的標準慣例,各個部件(feature)並未按照比例繪製。事實上,為了清楚之討論,可能任意的放大或縮小各個部件的尺寸。 第1圖到第13圖是根據一些實施例顯示在形成導電部件的示例方法期間,各個階段的各中間結構之示意圖。 第14圖是根據一些實施例顯示形成導電部件的示例方法之流程圖。 第15圖到第18圖是根據一些實施例顯示在形成導電部件的另一示例方法期間,各個階段的各中間結構之剖面圖。 第19圖是根據一些實施例顯示形成導電部件的另一示例方法之流程圖。 第20圖是根據一些實施例顯示一示例結構的能量色散X射線光譜(energy-dispersive X-ray spectroscopy; EDX)分析。
42‧‧‧半導體基板
46‧‧‧鰭片
56‧‧‧磊晶源極/汲極區域
60‧‧‧接觸蝕刻停止層
62‧‧‧第一層間介電質
70‧‧‧界面介電質
72‧‧‧閘極介電層
74‧‧‧順形層
76‧‧‧閘極電極
80‧‧‧第二層間介電質
90、92、140、142‧‧‧導電部件
94‧‧‧黏合層
96‧‧‧阻障層
98‧‧‧矽化物區域
100、146‧‧‧導電填充材料
110‧‧‧蝕刻停止層
112‧‧‧金屬間介電質
130、132‧‧‧阻隔區

Claims (11)

  1. 一種半導體結構,包括:一第一介電層,位於一基板之上;一第一導電部件,位於該第一介電層中且包括一金屬元素;一第二介電層,位於該第一介電層之上;一第二導電部件,位於該第二介電層中,該第二導電部件設置於該第二介電層的一第一側壁和該第二介電層的一第二側壁之間,並鄰接該第二介電層的該第一側壁和該第二介電層的該第二側壁;以及一阻隔區,設置於該第一導電部件和該第二導電部件之間,該阻隔區至少從該第二介電層的該第一側壁橫向延伸至該第二介電層的該第二側壁,且該阻隔區包括該金屬元素,其中該阻隔區的底表面低於該第一介電層的頂表面。
  2. 如申請專利範圍第1項所述之半導體結構,其中該阻隔區不位於該第一導電部件和該第二介電層之間;或者該阻隔區至少部分地位於該第一導電部件和該第二介電層之間,且該阻隔區不位於該第一介電層和該第二介電層之間。
  3. 如申請專利範圍第1項所述之半導體結構,其中該阻隔區更包括一半導體元素、一非金屬元素、或與該第一導電部件的該金屬元素不同的一金屬元素。
  4. 如申請專利範圍第1至3項中任一項所述之半導體結構,其中該阻隔區的厚度範圍介於3nm至5nm。
  5. 如申請專利範圍第1或2項所述之半導體結構,其中該阻隔區更包括矽、鍺、硼、砷、磷、氮、碳、錫中的至少一者、或前述之組合。
  6. 如申請專利範圍第1或2項所述之半導體結構,其中該阻隔區包括一矽化物。
  7. 一種半導體結構,包括:一第一介電質,位於一基板之上;一第一導電部件,穿過該第一介電質,該第一導電部件包括一第一金屬;一阻隔區,位於該第一導電部件上,該阻隔區包括該第一金屬和一物種,其中該物種為一半導體、一非金屬、或與該第一金屬不同的一第二金屬;一阻障層,位於該第一導電部件的側壁和底表面,其中該阻障層延伸至高於該阻隔區的底表面;一第二介電質,位於該第一介電質之上;以及一第二導電部件,穿過該第二介電質且與該阻隔區接觸,該阻隔區與該第二導電部件的一底部橫向地共同延伸。
  8. 如申請專利範圍第7項所述之半導體結構,其中該物種為該半導體,該阻隔區為一金屬-半導體化合物;或者該物種為該非金屬,該阻隔區為一金屬-非金屬化合物;或者該物種為該第二金屬,該阻隔區為一金屬-金屬化合物。
  9. 一種半導體結構的製造方法,包括:形成一第一導電部件於一第一介電質中,該第一導電部件包括一金屬;形成一第二介電質於該第一導電部件和該第一介電質之上;形成一開口,穿過該第二介電質至該第一導電部件;形成一阻隔區於該第一導電部件上,包括透過該開口提供一物種至該第一導電部件的該金屬中,其中該物種為一半導體、一非金屬、或與該金屬不同的另一金屬,其中該阻隔區的底表面低於該第一介電層的頂表面;以及形成一第二導電部件於該開口中且至該阻隔區。
  10. 如申請專利範圍第9項所述之半導體結構的製造方法,其中透過該開口提供該物種至該第一導電部件的該金屬中包括透過該開口將該金屬暴露 於一氣態化學浸泡,其中該物種從該氣態化學浸泡的一氣體擴散至該金屬中;或者透過該開口提供該物種至該第一導電部件的該金屬中包括透過該開口將該金屬暴露於一電漿處理,其中該物種從該電漿處理的一電漿擴散至該金屬中;或者透過該開口提供該物種至該第一導電部件的該金屬中包括以該物種植入該金屬。
  11. 如申請專利範圍第9或10項所述之半導體結構的製造方法,其中該物種包括一含矽物種;且該阻隔區為一矽化物。
TW107144314A 2018-03-29 2018-12-10 半導體結構及其製造方法 TWI727235B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/939,572 2018-03-29
US15/939,572 US10804140B2 (en) 2018-03-29 2018-03-29 Interconnect formation and structure

Publications (2)

Publication Number Publication Date
TW201943048A TW201943048A (zh) 2019-11-01
TWI727235B true TWI727235B (zh) 2021-05-11

Family

ID=68055445

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107144314A TWI727235B (zh) 2018-03-29 2018-12-10 半導體結構及其製造方法

Country Status (3)

Country Link
US (3) US10804140B2 (zh)
CN (1) CN110323205B (zh)
TW (1) TWI727235B (zh)

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11058444B2 (en) 2017-12-11 2021-07-13 Covidien Lp Electrically enhanced retrieval of material from vessel lumens
US20190388107A1 (en) 2018-06-22 2019-12-26 Covidien Lp Electrically enhanced retrieval of material from vessel lumens
US11974752B2 (en) 2019-12-12 2024-05-07 Covidien Lp Electrically enhanced retrieval of material from vessel lumens
US11728223B2 (en) * 2019-12-20 2023-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and methods of manufacture
US11923295B2 (en) 2020-02-19 2024-03-05 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect level with high resistance layer and method of forming the same
US11854879B2 (en) * 2020-02-26 2023-12-26 Raytheon Company Cu3Sn via metallization in electrical devices for low-temperature 3D-integration
US11652149B2 (en) 2020-08-13 2023-05-16 Taiwan Semiconductor Manufacturing Co., Ltd. Common rail contact
US11502000B2 (en) 2020-08-24 2022-11-15 Taiwan Semiconductor Manufacturing Co., Ltd. Bottom lateral expansion of contact plugs through implantation
US11637018B2 (en) * 2020-10-27 2023-04-25 Taiwan Semiconductor Manufacturing Co., Ltd. Barrier layer for contact structures of semiconductor devices
US11495599B2 (en) 2021-02-19 2022-11-08 Nanya Technology Corporation Semiconductor device with self-aligning contact and method for fabricating the same
US11963713B2 (en) 2021-06-02 2024-04-23 Covidien Lp Medical treatment system
US11854870B2 (en) * 2021-08-30 2023-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Etch method for interconnect structure
US20230146713A1 (en) * 2021-11-09 2023-05-11 Nanya Technology Corporation Semiconductor device structure with silicide portion between conductive plugs
US20230178473A1 (en) * 2021-12-03 2023-06-08 Nanya Technology Corporation Semiconductor device structure with stacked conductive plugs and method for preparing the same
US20230215917A1 (en) * 2022-01-06 2023-07-06 Globalfoundries U.S. Inc. Device with vertical nanowire channel region

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200820419A (en) * 2006-10-19 2008-05-01 Semiconductor Components Ind Semiconductor device having deep trench charge compensation regions and method
US20110062502A1 (en) * 2009-09-16 2011-03-17 The Institute of Microelectronics Chinese Academy of Science Semiconductor device and method for manufacturing the same
TW201203389A (en) * 2010-04-30 2012-01-16 Semiconductor Components Ind Semiconductor component and method of manufacture
TW201328408A (zh) * 2011-12-22 2013-07-01 Au Optronics Corp 有機電致發光裝置
US20160133721A1 (en) * 2014-11-07 2016-05-12 Globalfoundries Inc. Selectively forming a protective conductive cap on a metal gate electrode

Family Cites Families (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6090706A (en) 1993-06-28 2000-07-18 Applied Materials, Inc. Preconditioning process for treating deposition chamber prior to deposition of tungsten silicide coating on active substrates therein
US5989952A (en) * 1996-08-30 1999-11-23 Nanya Technology Corporation Method for fabricating a crown-type capacitor of a DRAM cell
US6268289B1 (en) 1998-05-18 2001-07-31 Motorola Inc. Method for protecting the edge exclusion of a semiconductor wafer from copper plating through use of an edge exclusion masking layer
US6348709B1 (en) * 1999-03-15 2002-02-19 Micron Technology, Inc. Electrical contact for high dielectric constant capacitors and method for fabricating the same
JP2002043544A (ja) * 2000-07-21 2002-02-08 Mitsubishi Electric Corp 半導体装置およびその製造方法
US20020072209A1 (en) 2000-12-11 2002-06-13 Vanguard International Semiconductor Corporation Method of forming tungsten nitride layer as metal diffusion barrier in gate structure of MOSFET device
KR100578212B1 (ko) * 2003-06-30 2006-05-11 주식회사 하이닉스반도체 엠티피 구조의 강유전체 캐패시터 및 그 제조 방법
US7238581B2 (en) * 2004-08-05 2007-07-03 Chartered Semiconductor Manufacturing Ltd. Method of manufacturing a semiconductor device with a strained channel
JP2007220701A (ja) * 2006-02-14 2007-08-30 Elpida Memory Inc 半導体装置の製造方法、半導体記憶装置の製造方法
US20070257323A1 (en) * 2006-05-05 2007-11-08 Taiwan Semiconductor Manufacturing Co., Ltd. Stacked contact structure and method of fabricating the same
US7892935B2 (en) * 2006-11-30 2011-02-22 United Microelectronics Corp. Semiconductor process
JP4473889B2 (ja) * 2007-04-26 2010-06-02 株式会社東芝 半導体装置
JP4575400B2 (ja) * 2007-05-08 2010-11-04 株式会社東芝 半導体装置の製造方法
JP5106933B2 (ja) * 2007-07-04 2012-12-26 ラピスセミコンダクタ株式会社 半導体装置
JP2009158591A (ja) * 2007-12-25 2009-07-16 Nec Electronics Corp 半導体装置およびその製造方法
US20100155949A1 (en) * 2008-12-24 2010-06-24 Texas Instruments Incorporated Low cost process flow for fabrication of metal capping layer over copper interconnects
JP2010267678A (ja) * 2009-05-12 2010-11-25 Toshiba Corp 半導体装置の製造方法
WO2012122052A2 (en) * 2011-03-04 2012-09-13 Applied Materials, Inc. Methods for contact clean
US20130020623A1 (en) * 2011-07-18 2013-01-24 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for single gate non-volatile memory device
US8907431B2 (en) * 2011-12-16 2014-12-09 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with multiple threshold voltages
US9142414B2 (en) * 2011-12-20 2015-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS devices with metal gates and methods for forming the same
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US8753931B2 (en) * 2012-04-05 2014-06-17 Taiwan Semiconductor Manufacturing Company, Ltd. Cost-effective gate replacement process
US9105490B2 (en) 2012-09-27 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US9236300B2 (en) 2012-11-30 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plugs in SRAM cells and the method of forming the same
US9349742B2 (en) * 2013-06-21 2016-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Embedded memory and methods of forming the same
US9064891B2 (en) 2013-07-16 2015-06-23 Globalfoundries Inc. Gate encapsulation achieved by single-step deposition
KR102169861B1 (ko) * 2013-11-07 2020-10-26 엘지디스플레이 주식회사 어레이기판 및 이의 제조방법
US9236440B2 (en) * 2013-12-05 2016-01-12 Globalfoundries Inc. Sandwich silicidation for fully silicided gate formation
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9406804B2 (en) 2014-04-11 2016-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with contact-all-around
US9443769B2 (en) 2014-04-21 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Wrap-around contact
US9627318B2 (en) * 2014-06-16 2017-04-18 Taiwan Semiconductor Manufacturing Company Ltd. Interconnect structure with footing region
US9831183B2 (en) * 2014-08-07 2017-11-28 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure and method of forming
US9472642B2 (en) * 2014-12-09 2016-10-18 Globalfoundries Inc. Method of forming a semiconductor device structure and such a semiconductor device structure
US20160172456A1 (en) * 2014-12-11 2016-06-16 Qualcomm Incorporated High resistance metal etch-stop plate for metal flyover layer
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US9548366B1 (en) 2016-04-04 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. Self aligned contact scheme
US10109636B2 (en) 2017-03-08 2018-10-23 Globalfoundries Inc. Active contact and gate contact interconnect for mitigating adjacent gate electrode shortages
KR20180111305A (ko) * 2017-03-31 2018-10-11 에스케이하이닉스 주식회사 다층 배선 구조를 가지는 반도체 소자 및 이의 제조 방법
US10157774B1 (en) * 2017-07-25 2018-12-18 Globalfoundries Inc. Contact scheme for landing on different contact area levels

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200820419A (en) * 2006-10-19 2008-05-01 Semiconductor Components Ind Semiconductor device having deep trench charge compensation regions and method
US20110062502A1 (en) * 2009-09-16 2011-03-17 The Institute of Microelectronics Chinese Academy of Science Semiconductor device and method for manufacturing the same
TW201203389A (en) * 2010-04-30 2012-01-16 Semiconductor Components Ind Semiconductor component and method of manufacture
TW201328408A (zh) * 2011-12-22 2013-07-01 Au Optronics Corp 有機電致發光裝置
US20160133721A1 (en) * 2014-11-07 2016-05-12 Globalfoundries Inc. Selectively forming a protective conductive cap on a metal gate electrode

Also Published As

Publication number Publication date
US20190385904A1 (en) 2019-12-19
US11532503B2 (en) 2022-12-20
US20190304833A1 (en) 2019-10-03
TW201943048A (zh) 2019-11-01
CN110323205B (zh) 2021-09-03
US10804140B2 (en) 2020-10-13
CN110323205A (zh) 2019-10-11
US20210074580A1 (en) 2021-03-11
US10847411B2 (en) 2020-11-24

Similar Documents

Publication Publication Date Title
TWI727235B (zh) 半導體結構及其製造方法
TWI682497B (zh) 半導體結構及半導體製程方法
TWI693689B (zh) 半導體裝置及其形成方法
TWI728482B (zh) 半導體裝置製造方法和半導體裝置
TWI746141B (zh) 半導體裝置及其形成方法
TW202121544A (zh) 半導體裝置的製造方法
US11901229B2 (en) Barrier-free approach for forming contact plugs
CN112992786A (zh) 半导体装置的制作方法
CN220439613U (zh) 半导体装置
US20220392803A1 (en) Conductive Feature of a Semiconductor Device
CN113053803A (zh) 用于金属化的双层衬里
TWI820775B (zh) 半導體裝置結構及其形成方法
US20230395393A1 (en) Oxide Removal for Contact Plugs
TWI835174B (zh) 半導體結構及其製造方法
TWI807711B (zh) 積體電路結構及其形成方法
TWI813200B (zh) 積體電路結構及其形成方法
TW202339109A (zh) 積體電路結構及其形成方法
TW202333301A (zh) 形成具有接觸特徵之半導體裝置的方法
TW202336839A (zh) 積體電路結構與其形成方法