TWI725927B - 低溫混合接合結構及其製造方法 - Google Patents

低溫混合接合結構及其製造方法 Download PDF

Info

Publication number
TWI725927B
TWI725927B TW109139063A TW109139063A TWI725927B TW I725927 B TWI725927 B TW I725927B TW 109139063 A TW109139063 A TW 109139063A TW 109139063 A TW109139063 A TW 109139063A TW I725927 B TWI725927 B TW I725927B
Authority
TW
Taiwan
Prior art keywords
copper
layer
semiconductor structure
bonding
semiconductor
Prior art date
Application number
TW109139063A
Other languages
English (en)
Other versions
TW202220133A (zh
Inventor
黃順斌
Original Assignee
黃順斌
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 黃順斌 filed Critical 黃順斌
Priority to TW109139063A priority Critical patent/TWI725927B/zh
Application granted granted Critical
Publication of TWI725927B publication Critical patent/TWI725927B/zh
Publication of TW202220133A publication Critical patent/TW202220133A/zh

Links

Images

Landscapes

  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

一種用於將一對半導體結構接合在一起的方法,該方法包含:提供一對半導體結構,該對半導體結構包含對應的介電層及佈置在該些介電層中的對應的銅特徵(copper feature),其中該對半導體結構包含一第一半導體結構及一第二半導體結構;在該第一半導體結構的該銅特徵(copper feature)上佈置一前驅合金,其中該前驅合金包括銅及一活性金屬;對該前驅合金進行熱脫合金處理,以從該前驅合金中去除該活性金屬,使得該前驅合金的殘餘物自組織成一多孔銅填充層;將該多孔銅填充層佈置在該兩銅特徵(copper feature)之間,並將該兩半導體結構的該兩介電層接合在一起;以及對該兩半導體結構執行退火,以將該多孔銅填充層轉化為一類塊狀銅。

Description

低溫混合接合結構及其製造方法
本發明揭示一種低溫混合接合結構及方法,比現有直接混合接合製程以更好的電性能與可靠性來改進當前的2.5D/3D異質集成封裝系統。此外,低溫混合接合結構和方法比當前的混合接合解決方案還可提供更高的成本效益、更高的製造良率和更多的環境友好性。
關於移動SoC和高性能計算(HPC)應用的新興2.5D / 3D異構積體電路封裝,主要是受到超短間距和細間距交連的限制。不幸的是,當前的銅銲錫交連技術(如圖1所示),例如覆晶接合與銅柱接合,在極少錫量的迴焊製程期間,面臨著細間距凸塊之間的錫橋以及電子遷移問題(例如裂縫和空隙)的挑戰。此外,預先施加的底部填充劑在接合界面處的殘留也是一個嚴重的問題。
新興的移動SoC和高性能計算(HPC)應用,對於I/O密度、頻寬和速度的要求,將晶片外交連間距控制在40µm以下,並具有較高的電流處理能力。因此,需要改變交連的材料和形成方法以面對上述挑戰。
數十年來,全銅交連都視為半導體異質集成的終極目標。無需銲錫的銅-銅直接接合已確定為高性能計算應用的最終目標。然而,銅具有其固有的材料特性,例如:1)熔點高達1081℃; 2)常溫氧化; 3)高彈性模量(120-130 GPa),對非共面性和內應力的耐受性較小。銅電極之間的“直接接合”需要很高的接合力,而且要在超真空、惰性或還原環境下組裝,其溫度遠高於迴焊溫度(> 300℃),並且使用昂貴且複雜的化學機械拋光(CMP)進行無縫接合步驟,以及較長的退火/接合工藝週期。在銅-銅直接接合中,在化學機械拋光(CMP)步驟之後,使銅電極周圍的介電區凹陷(recess),以去除銅氧化層並改善銅電極表面的平坦度。接合結構中介電層之間的狹窄空隙/孔洞係難以填滿。因此,傳統的銅-銅“直接接合”是高成本、低產量及近乎藝術的製程。
低溫銅/介電質“混合接合”是2.5D/3D異構集成應用中最有前景的技術,其可提高電氣性能、垂直交連的密度和長度以及可靠性。低溫銅/介電質“混合接合”是將 銅-銅“直接接合”與 具有無縫接合界面的二氧化矽-二氧化矽(氧化物)或聚合物膠材的介電質 同時“接合”在一起。因為 製程溫度低 以及 銅與其他材料之間的熱膨脹係數(CTE)不匹配,低溫 銅/介電質 “混合接合”具有很大的挑戰性。銅/介電質“混合接合”主要可分為兩大類:1)銅/二氧化矽“混合接合”; 2)通過使用不同的介電材料(例如BCB / PBO / PI聚合物膠材)進行銅/膠“混合接合”。
首先,銅/二氧化矽“混合接合”是將 銅-銅 “直接接合”,與具有無縫接合界面的 二氧化矽-二氧化矽 同時“接合”在一起。典型的 銅/二氧化矽 “混合接合”需要極佳化的化學機械拋光(CMP),以使二氧化矽表面超光滑且親水,而且還需要在超高真空下的接合表面活化工藝(例如電漿處理),以增强接合界面之間的接合強度。最近,有業者提出了新穎的兩步式銅/二氧化矽“混合接合”。在第一步驟中,將電漿活化施用到二氧化矽介電層的超光滑表面上,並且在室溫下自發地將二氧化矽介電層接合。室溫下的接合強度足以將兩個接墊(二氧化矽-二氧化矽)牢牢地固定在一起。這是親水性接合,第二步驟(分批退火製程)(250-400℃)無需額外施加壓力。在高溫退火製程中會產生直接的銅-銅自擴散連接。由於二氧化矽-二氧化矽 接合在室溫下進行,因此氧化物接合過程中的銅氧化污染並非重要。銅電極周圍的接合氧化物層 將 銅-銅結合 與 退火爐中的氛圍給隔絕起來,因此,在分批退火過程中減弱了銅的氧化。在元件工作期間,接合的氧化物(二氧化矽-二氧化矽)表面也將銅電極密封起來。
二氧化矽-二氧化矽(氧化物)接合之前的製備過程如下所述。超光滑(粗糙度為奈米級)氧化物(二氧化矽)表面 需多個化學機械拋光(CMP)步驟,並具有優異的銅凹陷(recess)控制(例如對應不同接墊尺寸的淺且均勻的凹陷(recess)),以符合接合界面平整度的要求以及為二氧化矽-二氧化矽接合銅凹陷(recess)的要求。藉由使用去離子水沖洗和電漿表面處理,兩個接合接墊僅需要簡單的清潔過程。有時候,可刻意在銅電極上製造銅凹陷(recess)以優化二氧化矽-二氧化矽接合。在分批退火步驟中,銅-銅“直接接合”取決於高溫退火過程中銅的本身膨脹 和 銅-銅自擴散連接。銅膨脹是由於銅與周圍的氧化物(二氧化矽)之間的不同熱膨脹係數,彌合了銅電極之間的空隙。因此,對於具有不同接墊尺寸的所有銅墊而言,具有淺且均勻的銅凹陷(recess)(深度)對於提高組裝良率非常重要。
有一些方法,透過將銅電極變成銅合金電極 或 將銅合金層填充到銅電極間的凹陷(recess)中,來尋求減小銅電極間的間隙並降低批量退火溫度的解決方案。如台灣積體電路製造股份有限公司在US20170025381A1專利中公開的「用於成品率改善的使用銅合金的混合鍵」與Invensas Bonding Technologies公司在WO2019199445A1專利中公開的「低溫接合結構」。銅合金擁有比類塊狀銅 較高的熱膨脹係數,更容易實行銅-銅直接自擴散並填充電極間的間隙而無需提高退火溫度。然而,與全銅交連相比,它增加了更高的電阻,但由於金屬間化合物(IMC)的脆性和柯肯德爾(Kirkendall)效應,還會產生結構脫層(structure delamination)(例如空隙和裂縫)。此外,這些並沒有解決根本問題,即複雜且高成本的銅凹陷(recess)控制過程,這就是為什麼需要開發更為複雜且專有的化學機械拋光製程,以確保為不同尺寸的銅電極生成淺而均勻的銅凹陷(recess)的原因,例如Invensas Bonding Technologies公司在WO2019060304A1專利中公開的「用於混合的化學機械拋光」。這些化學機械拋光步驟是非常昂貴、複雜且耗時的。
另一方面,由於接合時膠材容易變形的特性,銅/膠 “混合接合”對接合面的平坦性和非共面性具有更好的容限。此外,銅/膠 “混合接合”的機制是基於熱壓接合,而不是典型的二氧化矽-二氧化矽“直接接合”與 銅/二氧化矽“混合接合”。其主要可分為兩大類:1)“膠材優先 ” 接合;2)“銅優先”接合 因銅與膠材間的巨大物理特性差異,例如模量、接合固化溫度和接合強度。
“膠材優先”接合 避免了在典型的銅-銅“直接接合”中難以填充介電層之間的狹窄空隙的困難。在第一步驟中,在較低溫度(低於250℃)下進行熱壓接合,然後將其完全固化,之後在更高溫度(高於350℃)下進行第二步驟的銅-銅熱壓接合。“膠材優先”混合接合仍然存在諸如大的熱應力、更高的熱預算和低產量的問題。另外,在第一步膠材接合固化過程中,兩個接合界面間的熱滑動可能會由於較大的未重合而導致產量降低。“膠材優先”接合 仍然需要平坦化製程,例如化學機械拋光(CMP)和用於晶片製備的鑽石尖端研磨(flying cut )。
儘管銅/膠“混合接合”非常吸引人,但由於銅-銅(高於350℃)與聚合物膠材(低於或等於250℃)之間的接合溫度不同,在低溫(例如200℃以下)下的“混合接合”仍具有挑戰性。為了克服該製程溫度不匹配的問題,在平面化製程之後,通過使用特定的表面活化處理,以 降低“銅優先”接合 的銅-銅接合溫度。採用預接合表面活化工藝來去除自發形成在銅表面上的銅內在氧化物。在銅表面活化後,便可以在低於200℃的溫度下實現無需壓力的低溫銅-銅接合。銅電極和膠材的表面也需要通過鑽石尖端研磨進行平面化處理。
通過使用腔體內的表面活化方法,可以避免銅再氧化的主要問題。此外,腔體內含氫的甲酸(HCOOH)蒸氣表面活化方法可以在200℃同時處理銅電極和膠材聚合物,以進行預接合處理。因此,在200℃以下低溫下進行“銅優先”銅/膠 “混合接合”需要特殊的薄膠材。晶片角落周圍沒有膠材突出會影響相鄰晶片的緊密配置。在熱壓縮過程中和之後,沒有熱滑動會影響銅電極的對準。無空隙且具有高電氣可靠度的旋轉塗佈薄膠可以在200℃甚至高溫烘烤下固化。
奈米多孔金屬因其特殊的固有物理特性(例如重量輕、高表面積的金屬結構、良好導電性以及易於通過各種脫合金工藝進行生產)而備受關注。奈米多孔金屬具有廣泛的應用,包括催化、感測器、致動器、燃料電池和微流體流量控制器。此外,奈米多孔銅(NPC)是用於高級積體電路封裝和功率元件組裝中的晶片連接的新型交連材料(請參閱參考資料1)。奈米多孔銅的典型奈米多孔金屬結構可提供高表面積/體積比,包括高曲率韌帶以及具有大量奈米級孔隙的金屬表面。它的低模量和較低壓力下的低溫緻密化(燒結)可以作為超細間距交連中銅柱的覆蓋材料來替代銅柱的典型SAC305焊帽(solder cap)。典型的脫合金工藝,例如電化學脫合金,採用化學蝕刻劑,有時接合電位偏置,以從前驅合金(precursor alloy)系統中選擇性地去除犧牲(活性)金屬元素,但這僅限於在兩個合金元素之間的電極電位具有足夠大的差異的系統上。一般來說,這將電化學脫合金的應用僅限於某些特定的金屬合金和成分。為了避免脫合金後產生裂縫,在脫合金之前對前驅合金進行額外的退火是必要的。此外,還需要通過額外的化學蝕刻去除電化學脫合金後的犧牲金屬殘留物,從而延長了整個處理時間。由於蝕刻過程中的化學廢料和從電解質中回收溶解的金屬成分的困難,電化學脫合金還涉及嚴重的環境和經濟問題。
真空熱脫合金(參閱參考資料2和參考資料3)是經過十多年的發展。最近,由於其通用、節省成本和環保的奈米多孔金屬製造而比常規脫合金工藝具有許多優勢,因而備受關注,並且非常適用於在化學/電化學脫合金過程中可能易氧化的難熔金屬。 基本上,真空熱脫合金是一種真空退火工藝,其通過利用兩種合金元素之間的蒸氣壓差來選擇性地從前驅合金中蒸發掉犧牲(活性)金屬元素。
例如,在銅-鋅合金系統中,銅和鋅的蒸氣壓由維也納工業大學應用物理研究所的蒸氣壓計算器計算得出(請參閱參考資料4)。目前正關注導致電流存儲設備的工藝溫度限制的低溫範圍(低於200℃,473.15 K),並且我們可以清楚地發現(請參見參考文獻5的相關蒸氣壓表),銅和鋅之間的巨大蒸氣壓差至少比其高20個數量級。鋅的低熔點和快速蒸氣蒸發是理想的銅-鋅合金系統的犧牲元素。此外,鋅蒸氣是一種強還原性介質,它在真空室中昇華可以阻止奈米多孔銅被氧化。在較高的真空度和較低的脫合金溫度下進行真空熱脫合金會促進鋅揮發並限制銅的擴散。同時,在較高的真空度和較低的溫度下進行脫合金可以有效地減少體積收縮,並製造出比典型的電化學脫合金更大的孔隙率、更低的模量和更細的孔徑的奈米多孔銅。
此外,真空熱脫合金可以與半導體後段佈線工序(BEOL)兼容,以生成奈米多孔銅,從而通過填充化學機械拋光(CMP)後銅凹碟(Cu-Dishing) 的次微米級凹陷(recess) 來提高銅/二氧化矽“混合接合”的銅-銅交連電阻特性。通過使用新穎的奈米多孔銅/膠“混合接合”結構,它還可以簡化當前的“銅優先”銅/膠“混合接合”工藝,因為它免除了平坦化製程(鑽石尖端研磨)和表面活化處理,而且避免了熱壓縮過程中的熱滑動。
參考資料 1. “A Review of Nanoporous Metals in Interconnects”Mohan, K., Shahane, N., Liu, R. et al.A Review of Nanoporous Metals in Interconnects. JOM 70,2192–2204 (2018). https://doi.org/10.1007/s11837-018-3081-z 2. “Three-dimensional bicontinuous nanoporous materials by vapor phase dealloying”Lu, Z., Li, C., Han, J. et al.Three-dimensional bicontinuous nanoporous materials by vapor phase dealloying. Nat Commun 9,276 (2018). https://doi.org/10.1038/s41467-017-02167-y 3. “Vacuum thermal dealloying of magnesium-based alloys for fabrication of nanoporous refractory metals”Maria Kosmidou, Michael J. Detisch, Tyler L. Maxwell, and T. John Balk, Department of Chemical and Materials Engineering, University of Kentucky, Lexington, KY 40506, USA https://www.cambridge.org/core/journals/mrs-communications/article/ vacuum-thermal-dealloying-of-magnesiumbased-alloys-for-fabrication-of-nanoporous-refractory-metals/37BB747F6DDA09127705C122BA8B2560 4. http://www.iap.tuwien.ac.at/www/surface/vapor_pressure5. 鋅與銅蒸汽壓力表 :
T(K) T(℃) 鋅蒸汽壓  (pa) 銅蒸汽壓 (Pa)
373.1 100 8.89e-8 4.83e-36
383.1 110 2.65e-7 8.26e-35
393.1 120 7.46e-7 1.22e-33
403.1 130 2.00e-6 1.58e-32
413.1 140 5.09e-6 1.81e-31
423.1 150 1.24e-5 1.84e-30
433.1 160 2.91e-5 1.68e-29
443.1 170 6.56e-5 1.39e-28
453.1 180 1.43e-4 1.05e-27
463.1 190 3.00e-4 7.21e-27
473.1 200 6.12e-4 4.58e-26
483.1 210 1.21e-3 2.67e-25
493.1 220 2.33e-3 1.46e-24
503.1 230 4.37e-3 7.49e-24
513.1 240 8.00e-03 3.60e-23
523.1 250 0.0143 1.63e-22
本發明的目的在於提供一種新穎的“混合接合”結構和方法,其能夠避免高成本,並可改善電氣性能、增加產量且簡化當前的低溫直接“混合接合”製程。本發明的新穎“混合接合”結構和方法,能夠以更高的製造良率匹配當前的晶片上晶片(Chip-on-Chip,CoC)、晶圓上晶片(Chip-on-Wafer,CoW)和晶圓上晶圓(Wafer-on-Wafer,WoW)製造平台。
本發明的新穎“混合接合”結構和方法係利用奈米多孔銅的低模量和高表面積的優勢,在高性能計算應用的混合接合製程中實現了較低接合溫度、更低的電阻以及更高的非共面容限。
真空熱脫合金法合成的奈米多孔銅很適合做為在化學機械拋光(CMP)後填充到銅/二氧化矽“混合接合”中銅凹碟(Cu-Dishing)的次微米級凹陷(recess)材料,這是因為奈米孔銅緻密化(燒結)後形成的類塊狀銅具有良好的電阻特性。真空熱脫合金可以滿足現有的BEOL半導體製造工藝。
此外,由低模量的奈米孔銅柱和部分固化的膠腔形成的“混合接合”結構可以確保精確配置,並且還可以避免在熱壓縮過程中發生熱滑動。本發明的“混合接合”結構和方法還能免除平坦化製程(鑽石尖端研磨)和表面活化處理,從而簡化了銅優先、銅/膠“混合接合”製程。
以下揭示內容提供用於實施本揭露之不同特徵的許多不同實施例或實例。下文描述組件及配置之特定實例以簡化本揭露。當然,此等組件及配置僅為實例且不意欲為限制性的。舉例而言,在以下描述中,第一構件在第二構件上方或上之形成可包括第一構件與第二構件直接接觸地形成之實施例,且亦可包括額外構件可在第一構件與第二構件之間形成使得第一構件與第二構件可不直接接觸之實施例。另外,本揭露可能在各種實例中重複參考數字及/或字母。此重複係出於簡單及清晰之目的,且本身並不指示所論述之各種實施例及/或組態之間的關係。
另外,本文中為易於描述而可能使用諸如「下伏」、「下方」、「下部」、「上覆」、「上部」及其類似者等空間相對術語,以描述如諸圖中所說明的一個元件或構件與另一或多個元件或構件的關係。除諸圖中所描繪之定向以外,空間相對術語意欲涵蓋在使用或操作中之裝置的不同定向。設備可以其他方式定向(旋轉90度或位於其他定向),且本文中所使用之空間相對描述詞同樣可相應地進行解釋。
圖1說明了在高性能計算應用中交連技術的演進。圖1-a所示的截面圖100是維基百科(Wikipedia)上對半導體後段佈線工序(Back End Of Line,BEOL)的介紹。通常半導體晶粒利用FEOL 101和BEOL 102以及堆疊在BEOL 102上的C4焊球103(通過傳統迴焊方式形成)與印刷電路基板連接。C4焊球103之間的間距大約在130µm以上。在圖1-b所示的截面圖110中,顯示了半導體晶粒利用FEOL 101和BEOL 102以及堆疊在BEOL 102上的銅柱112和C2焊球111(通過傳統迴焊方式形成)與基板連接。銅柱112之間的間距在60μm和130μm之間。在圖1-c所示的截面圖110中,顯示了半導體晶粒利用FEOL 101和BEOL 102以及堆疊在BEOL 102上的微型銅柱122和SAC焊帽121(通過TCB或局部迴焊方式形成)與矽基板連接。微型銅柱122之間的間距小於40μm。
圖2顯示典型的直接“混合接合”的接墊結構及其簡化圖。在截面圖210中,接合層201位於FEOL 203和BEOL 202堆疊的頂部。接墊204之間的間距在幾微米(例如3-5微米)之內。半導體晶粒220包含基板214和絕緣介電層213。絕緣介電層213沈積或形成在基板214上。晶粒220的接合表面211可以包括導電特徵212,例如交連結構, 如果需要的話,將其嵌入絕緣介電層213中並佈置成使得來自各個接合表面211的導電特徵212可以在接合期間配合和接合。接合的交連特徵212可以在堆疊的晶粒220之間形成連續的導電交連(用於信號、功率等)。
鑲嵌(damascene)工藝(或類似工藝)可以用於在絕緣介電層213中形成嵌入的導電特徵212。導電特徵212可以由金屬(例如,銅等)或其他導電材料或材料的組合所組成, 並包括結構、線路、接墊、圖案等。 導電特徵212可以包括在絕緣介電層213中提供電和/或熱路徑,或者可以替代地被配置為通過使用附加的接墊或所謂的虛接墊(dummy pad)、線路、圖案等來平衡接合表面211的金屬化。在形成導電特徵212之後,可以將包括絕緣介電層213和導電特徵212的晶粒220的裸露表面平坦化,以形成平坦的接合表面211,其中導電特徵211的頂部上可能會出現凹陷(recess)(未顯示)。
圖3顯示典型的銅/二氧化矽直接“混合接合”的簡要製造工藝流程。在步驟310和步驟310'中,半導體晶粒包括有基板303和絕緣或介電層302。對絕緣或介電層302執行蝕刻以在其上形成開口304。形成擴散阻擋層(未顯示)以襯接開口304,然後形成銅晶種層(未顯示)以在擴散阻擋層上襯接開口304。形成銅填充層301以填充銅晶種層上方的開口304,從而在基板303上形成多個銅電極322。在步驟320和步驟320'中,銅填充層301利用化學機械拋光(CMP)工藝平面化,並相應地形成嵌入有銅電極322的超平坦接合界面321。各銅電極322具有凹陷(recess)高度為h的銅凹碟(Cu-Dishing)323,其中h是從接合界面321的最上層到銅凹碟(Cu-Dishing)323底部的距離。在步驟330和步驟330'中,對接合界面321執行電漿處理331。在步驟340中,將圖3(c)所示的半導體晶粒和圖3(c')所示的半導體晶粒以面對面的方式放置,並且在室溫下執行二氧化矽-二氧化矽介電接合,然後在基板303之間形成嵌入式交連341。嵌入式交連341中形成有高度為2h的空隙342。在步驟350中,對半導體晶粒進行高溫(300-400℃)退火352。銅電極因此膨脹並且由於熱而使間隙減小。最後銅電極自擴散到嵌入式交連351中,而沒有在其中形成任何間隙。
圖4顯示根據本發明的通過使用奈米多孔銅填充銅特徵(copper feature)之間的空隙/裂縫的銅/二氧化矽“混合接合”工藝。在圖4(a)所示的步驟410中,第一半導體結構包括基板405和形成在基板405上的絕緣或介電層401。對絕緣或介電層401執行蝕刻以在其上形成多個開口。形成擴散阻擋層(未顯示)以襯接開口,然後形成銅晶種層(未顯示)以在擴散阻擋層上襯接開口。形成銅填充層以填充銅晶種層上方的開口。銅填充層利用化學機械拋光(CMP)工藝平面化,並相應地形成超平坦接合界面402以及嵌入開口中的多個銅特徵(copper feature)403。在第一半導體結構上的銅特徵(copper feature)403上方分別存在多個凹陷(recess)404,該些凹陷(recess)404從接合界面402頂部到其底部的深度為h。因此,銅特徵(copper feature)403的頂部係低於接合界面402的頂部。
在圖4(a')所示的步驟410'中,第二半導體結構包括基板405和形成在基板405上的絕緣或介電層401。對絕緣或介電層401執行蝕刻以在其上形成多個開口。形成擴散阻擋層(未顯示)以襯接開口,然後形成銅晶種層(未顯示)以在擴散阻擋層上襯接開口。形成銅填充層以填充銅晶種層上方的開口。銅填充層利用化學機械拋光(CMP)工藝平面化,並相應地形成超平坦接合界面402以及嵌入開口中的多個銅特徵(copper feature)403。在第二半導體結構上的銅特徵(copper feature)403上方分別存在多個凹陷(recess)404,該些凹陷(recess)404從接合界面402頂部到其底部的深度為h。因此,銅特徵(copper feature)403的頂部係低於接合界面402的頂部。
在圖4(b)所示的步驟420中,通過沈積、填充、共濺鍍或原子層沈積(atomic layer deposition,ALD)活性金屬和貴金屬,以分別在銅特徵(copper feature)403上形成前驅合金(precursor alloy)(Cu xZn 1-x) 421,其中活性金屬係包含有鋅而貴金屬包含有銅。前驅合金421的厚度為th,係遠大於凹陷(recess)404深度h的兩倍。在圖4(c)所示的步驟430中,將具有前驅合金421的第一半導體結構放置在高真空腔432中,並執行真空熱脫合金431以昇華並因此從前驅合金421中去除活性金屬。前驅合金421的殘餘物會自然自組織成具有數十奈米尺度的韌帶的雙連續開孔(奈米孔)銅結構。在圖4(d)所示的步驟440中,在對前驅合金421進行真空熱脫合金之後,銅特徵(copper feature)403上因此分別形成多孔銅填充層441。多孔銅填充層441突出到接合界面402上方,並且分別具有th'的厚度。由於脫合金的關係, th'小於th,且因低模量和孔隙率的緣故,th'大於兩倍的凹陷(recess)404的深度h。在圖4(e)所示的步驟450中,圖4(d)所示的第一半導體結構和圖4(a')所示的第二半導體結構以面對面的方式放置,並且它們的介電層401在室溫下接合在一起。多孔銅填充層441分別填充在第二半導體結構上的凹陷(recess)404中。在圖4(f)所示的步驟460中,該對第一和第二半導體結構執行低溫(低於250℃)的批量退火461。因此,多孔銅填充層441膨脹並且上下銅特徵(copper feature)403之間的間隙減小。最後,多孔銅填充層441執行自擴散燒結。在圖4(g)所示的步驟470中,具有多孔銅填充層441的銅特徵(copper feature)403最終變成類塊狀銅471。
圖5顯示典型的“銅優先”銅/膠“混合接合”工藝。半導體晶粒包括有基板503和絕緣或介電層502。對絕緣或介電層502執行蝕刻以在其上形成開口504。形成擴散阻擋層(未顯示)以襯接開口504,然後形成銅晶種層(未顯示)以在擴散阻擋層上襯接開口504。在步驟510和步驟510'中,形成銅填充層501以填充銅晶種層上方的開口504,從而在基板503上形成多個銅電極。在步驟520和步驟520'中,銅填充層501利用化學機械拋光(CMP)工藝平面化,並相應地形成嵌入有銅電極523的接合界面524。各銅電極523具有凹陷(recess)的銅凹碟(Cu-Dishing)。在接合界面524上形成光阻層522。蝕刻光阻層522以選擇性地形成開口以裸露銅電極523。然後,通過無電電鍍在銅電極523上沈積銅接墊層521。在步驟530和步驟530'中,去除光阻層522以完全裸露銅接墊層521。對半導體晶粒進行退火處理,以通過銅接墊層521與銅電極523之間的自擴散形成固態銅電極532。在銅電極532和接合界面524上施加厚度為t的膠層531。在步驟540和540'中,用鑽石刀541將銅電極532和膠層531平坦化,以使銅電極532和膠層531的表面平坦。該平坦化後的表面的厚度為t'。在步驟550和550'中,通過含氫的甲酸蒸氣在銅電極532和膠層531的表面上執行預接合表面活化551。在步驟560中,圖5(e)所示的半導體晶粒和圖5(e')所示的半導體晶粒以面對面的方式放置,並在200℃下進行熱壓接合561,以形成銅-銅直接接合562。在步驟570中,在不壓縮下,對該對半導體晶粒進行後接合批量固化571,以完全固化兩膠層531。
圖6顯示根據本發明的通過使用奈米多孔銅柱的銅/膠“混合接合”工藝。在圖6(a)所示的步驟610中,第一半導體結構包括基板603和形成在基板603上的絕緣或介電層602。對絕緣或介電層602執行蝕刻以在其上形成多個開口604。形成擴散阻擋層(未顯示)以襯接開口604,然後形成銅晶種層(未顯示)以在擴散阻擋層上襯接開口604。形成銅填充層601以填充銅晶種層上方的開口604,從而在絕緣或介電層602上形成多個銅特徵(copper feature)。在圖6(b)所示的步驟620中,銅填充層601利用化學機械拋光(CMP)工藝平面化,並相應地形成超平坦接合界面622以及嵌入開口604中的多個銅特徵(copper feature)623。在第一半導體結構上的銅特徵(copper feature)623上方分別存在多個凹陷(recess)621,該些凹陷(recess)621從接合界面622頂部到其底部的深度為rh。因此,銅特徵(copper feature)623的頂部係低於接合界面622的頂部。在圖6(c)所示的步驟630中,在接合界面622和銅特徵(copper feature)623上施加厚度為t的膠層631。對膠層631進行蝕刻以形成多個開口632,藉此分別裸露銅特徵(copper feature)623。在圖6(d)所示的步驟640中,膠層631在低溫(200℃以下)下進行預烘烤643,由於溶劑的蒸發和固化收縮而變成厚度為t'的部分固化膠641。
在圖6(a')所示的步驟610'中,第二半導體結構包括基板603和形成在基板603上的絕緣或介電層602。對絕緣或介電層602執行蝕刻以在其上形成多個開口604。形成擴散阻擋層(未顯示)以襯接開口604,然後形成銅晶種層(未顯示)以在擴散阻擋層上襯接開口604。形成銅填充層601以填充銅晶種層上方的開口604,從而在絕緣或介電層602上形成多個銅特徵(copper feature)。在圖6(b')所示的步驟620'中,銅填充層601利用化學機械拋光(CMP)工藝平面化,並相應地形成接合界面622以及嵌入開口604中的多個銅特徵(copper feature)623。在第二半導體結構上的銅特徵(copper feature)623上方分別存在多個凹陷(recess)。在接合界面622上形成用作遮罩的光阻層624並填充凹陷(recess)。對光阻層624進行蝕刻以形成多個開口,藉此分別裸露銅特徵(copper feature)623。通過無電電鍍在銅特徵(copper feature)623上依序沈積第一金屬層625和第二金屬層626,以填充光阻層624的開口。因此,第一金屬層625形成在銅特徵(copper feature)623的頂部上而第二金屬層626然後形成在第一金屬層625上。在一實施例中,第一金屬層625可以是貴金屬並且由純銅組成;第二金屬層626可以是活性金屬並且由鋅(Zn)所組成。第二金屬層626的重量通常小於第一金屬層625的重量。因此,構成第一金屬層625的金屬是主要金屬,而構成第二金屬層626的金屬是次要金屬。各包含有第一金屬層625和第二金屬層626的多層金屬堆疊於是相應形成,其中多層金屬堆疊的高度為h,其中h= rh +光阻層624的厚度。
在圖6(c')所示的步驟630'中,去除光阻層624以裸露銅特徵(copper feature)623上方的多層金屬堆疊。當第一金屬層625由純銅構成時,接著進行退火處理634以將多層金屬堆疊轉變為銅合金633。因此,銅合金633由銅和作為次要金屬的活性金屬所構成。圖中銅合金633的高度為h'。在圖6(d')所示的步驟640'中,具有銅合金633的第二半導體結構放置在高真空腔644中,並實施真空熱脫合金以昇華並因此從銅合金633中去除活性金屬。銅合金633的殘餘物會自然自組織成具有數十奈米尺度的韌帶的雙連續開孔(奈米孔)銅柱642。多孔銅柱642分別形成在銅特徵(copper feature)623上並突出在接合界面622上方。在經歷真空熱脫合金之後,多孔銅柱642從銅合金633收縮,並且高度成為h",其係小於h'。因此,銅合金633的脫合金收縮率等於(h' - h")/ h'。
在圖6(e)所示的步驟650中,將圖6(d)所示的第一半導體結構和圖6(d')所示的第二半導體結構浸入乙酸中,以進行氧化銅還原預處理653。第一半導體結構和第二半導體結構以面對面的方式放置,使得多孔銅柱642分別填充在開口632中。在溫度低於200℃以及含甲酸蒸氣的環境中進行低溫熱壓縮接合,以將兩介電層601通過部分固化膠641壓合在一起,其中低溫熱壓縮接合的執行時間不超過十分鐘,且施加的接合壓力654在8-10MPa之間。因此,多孔銅柱642被壓緊並收縮成具有相對較高密度(大約85-95%)的類塊狀銅652。第一和第二半導體結構之間的間隙是t",其小於t'。在圖6(f)所示的步驟660中,該對第一半導體結構和第二半導體結構在200℃下不經壓縮就進行長時間的後接合批量固化663,以將部分固化膠641完全固化。最後,由於完全固化的膠層661將第一半導體結構和第二半導體結構牢固地接合在一起並且銅在200℃的溫度下膨脹,因此類塊狀銅652於是和第一及第二半導體結構上的銅特徵(copper feature)632結合而形成類塊狀銅662。
雖然本發明已以前述實施例揭示,然其並非用以限定本發明,任何本發明所屬技術領域中具有通常知識者,在不脫離本發明之精神和範圍內,當可作各種之更動與修改。因此本發明之保護範圍當視後附之申請專利範圍所界定者為準。
100:截面圖 101:FEOL 102:BEOL 103:C4焊球 110:截面圖 111:C2焊球 112:銅柱 120:截面圖 121:SAC焊帽 122:微型銅柱 201:接合層 202:BEOL 203:FEOL 204:接墊 210:截面圖 211:接合表面 212:導電特徵 213:絕緣介電層 214:基板 220:晶粒 301:銅填充層 302:絕緣或介電層 303:基板 304:開口 310:步驟 310':步驟 320:步驟 320':步驟 321:接合界面 322:銅電極 323:銅凹碟(Cu-Dishing) 330:步驟 330':步驟 331:電漿處理 340:步驟 341:嵌入式交連 342:空隙 350:步驟 351:嵌入式交連 352:高溫退火 401:絕緣或介電層 402:接合界面 403:銅特徵(copper feature) 404:凹陷(recess) 405:基板 410:步驟 410':步驟 420:步驟 421:前驅合金 430:步驟 431:真空熱脫合金 432:高真空腔 440:步驟 441:多孔銅填充層 450:步驟 460:步驟 461:批量退火 470:步驟 471:類塊狀銅 501:銅填充層 502:絕緣或介電層 503:基板 504:開口 510:步驟 510':步驟 520:步驟 520':步驟 521:銅接墊層 522:光阻層 523:銅電極 524:接合界面 530:步驟 530':步驟 531:膠層 540:步驟 540':步驟 541:鑽石刀 550:步驟 550':步驟 551:預接合表面活化 560:步驟 561:熱壓接合 562:銅-銅直接接合 570:步驟 571:後接合批量固化 601:銅填充層 602:絕緣或介電層 603:基板 604:開口 610:步驟 610':步驟 620:步驟 620':步驟 621:凹陷(recess) 622:接合界面 623:銅特徵(copper feature) 624:光阻層 625:第一金屬層 626:第二金屬層 630:步驟 630':步驟 631:膠層 632:開口 633:銅合金 634:退火處理 640:步驟 640':步驟 641:部分固化膠 642:多孔銅柱 643:預烘烤 644:高真空腔 650:步驟 652:類塊狀銅 653:氧化銅還原預處理 654:接合壓力 660:步驟 661:膠層 662:銅特徵(copper feature) 663:後接合批量固化 h:高度 h':高度 rh:深度 t:厚度 t':厚度 th:厚度 th':厚度
當結合附圖閱讀時,自以下詳細描述最好地理解本揭露之態樣。應注意,根據業界中之標準實務,各種構件未按比例繪製。實際上,為論述清楚起見,可任意增大或減小各種構件之尺寸。 圖1為在高性能計算應用中交連技術的發展的截面圖。 圖2為典型直接“混合接合”的接墊結構及其簡化的示意圖。 圖3為典型的銅/二氧化矽“混合接合”工藝的示意圖。 圖4為根據本發明的通過使用奈米多孔銅填充銅特徵(copper feature)之間的空隙/裂縫的新穎銅/二氧化矽“混合接合”工藝的示意圖。 圖5為典型的“銅優先”銅/膠“混合接合”工藝的示意圖。 圖6為根據本發明的通過使用奈米多孔銅柱的新穎銅/膠“混合接合”工藝的示意圖。
401:絕緣或介電層
402:接合界面
403:銅特徵(copper feature)
404:凹陷(recess)
405:基板
410:步驟
410':步驟
420:步驟
421:前驅合金
430:步驟
431:真空熱脫合金
432:高真空腔
440:步驟
441:多孔銅填充層
450:步驟
460:步驟
461:批量退火
470:步驟
471:類塊狀銅
h:高度
th:厚度
th':厚度

Claims (13)

  1. 一種用於將一對半導體結構接合在一起的方法,該方法包含:提供一對半導體結構,該對半導體結構包含對應的介電層及佈置在該些介電層中的對應的銅特徵(copper feature),其中該對半導體結構包含一第一半導體結構及一第二半導體結構;在該第一半導體結構的該銅特徵(copper feature)上佈置一前驅合金(precursor alloy),其中該前驅合金包括銅及一活性金屬;對該前驅合金進行真空熱脫合金處理,以從該前驅合金中去除該活性金屬,使得該前驅合金的殘餘物自組織成一多孔銅填充層;將該多孔銅填充層佈置在該兩銅特徵(copper feature)之間,並將該兩半導體結構的該兩介電層接合在一起;以及對該兩半導體結構執行退火,以將該兩銅特徵(copper feature)及該多孔銅填充層轉化為一類塊狀銅。
  2. 如請求項1之方法,其中該退火在低於250℃的溫度下進行。
  3. 如請求項1之方法,其中該兩半導體結構的該兩介電層是平的,且在室溫下接合在一起。
  4. 如請求項1之方法,其中提供該第二半導體結構包含:對該第二半導體結構的該介電層進行蝕刻以形成用於該第二半導體結構的該銅特徵(copper feature)的一開口;形成襯接該開口的一擴散阻擋層;形成於該擴散阻擋層上的襯接該開口的一銅晶種層;形成於該銅晶種層上的填充該開口的一銅填充層;以及執行一化學機械拋光(CMP)以平坦化該銅填充層,並以形成該第二半導體結構的該銅特徵(copper feature)。
  5. 如請求項4之方法,其中該第二半導體結構的該銅特徵(copper feature)上形成有一凹陷(recess),並且其中該多孔銅填充層係填充在該凹陷(recess)中。
  6. 一種用於將一對半導體結構接合在一起的方法,該方法包含:提供一對半導體結構,該對半導體結構包含對應的介電層及佈置在該些介電層中的對應的銅特徵(copper feature),其中該對半導體結構包含一第一半導體結構及一第二半導體結構;在該第一半導體結構的該介電層上形成一膠層;在該膠層上形成用於該第一半導體結構的該銅特徵(copper feature)的一開口;將該膠層轉化成一部分固化膠;在該第二半導體結構的該銅特徵(copper feature)上佈置一銅合金,其中,該銅合金包括銅及一活性金屬;對該銅合金進行真空熱脫合金處理,以從該銅合金中去除該活性金屬,使得該銅合金的殘餘物自組織成一多孔銅柱;將該多孔銅柱佈置在該兩銅特徵(copper feature)之間,並對該兩半導體結構執行一熱壓接合,以將該兩介電層通過該部分固化膠接合在一起,並將該兩銅特徵(copper feature)及該多孔銅柱轉化為一類塊狀銅;以及完全固化該部分固化膠。
  7. 如請求項6之方法,更包含:在進行熱壓接合之前,將該兩半導體結構浸入乙酸中以進行氧化銅還原預處理。
  8. 如請求項7之方法,其中該熱壓接合在低於200℃的溫度下進行。
  9. 如請求項8之方法,其中該熱壓接合是在含有甲酸蒸氣的環境中進行。
  10. 如請求項6之方法,其中該完全固化該部分固化膠是在200℃的溫度且未壓縮下進行。
  11. 如請求項6之方法,其中佈置該銅合金包含:在該第二半導體結構的該銅特徵(copper feature)上沈積一第一金屬層及一第二金屬層,其中該第一金屬層包括純銅,該第二金屬層包括該活性金屬;以及對該第二半導體結構執行退火,以將該第一金屬層及該第二金屬層轉化為該銅合金。
  12. 如請求項6之方法,其中提供該第一半導體結構包含:對該第一半導體結構的該介電層進行蝕刻以形成用於該第一半導體結構的該銅特徵(copper feature)的一開口;形成襯接該開口的一擴散阻擋層;形成於該擴散阻擋層上的襯接該開口的一銅晶種層;形成於該銅晶種層上的填充該開口的一銅填充層;以及執行一化學機械拋光(CMP)以平坦化該銅填充層,且以形成該第一半導體結構的該銅特徵(copper feature)。
  13. 如請求項12之方法,其中該第一半導體結構的該銅特徵(copper feature)上形成有一凹陷(recess),並且其中該多孔銅柱係填充在該凹陷(recess)中。
TW109139063A 2020-11-09 2020-11-09 低溫混合接合結構及其製造方法 TWI725927B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
TW109139063A TWI725927B (zh) 2020-11-09 2020-11-09 低溫混合接合結構及其製造方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW109139063A TWI725927B (zh) 2020-11-09 2020-11-09 低溫混合接合結構及其製造方法

Publications (2)

Publication Number Publication Date
TWI725927B true TWI725927B (zh) 2021-04-21
TW202220133A TW202220133A (zh) 2022-05-16

Family

ID=76604570

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109139063A TWI725927B (zh) 2020-11-09 2020-11-09 低溫混合接合結構及其製造方法

Country Status (1)

Country Link
TW (1) TWI725927B (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113380639A (zh) * 2021-05-26 2021-09-10 西安交通大学 一种原子级离子清洁活化低温键合装置及方法
WO2023272903A1 (zh) * 2021-07-01 2023-01-05 长鑫存储技术有限公司 一种半导体结构及其形成方法
CN115831784A (zh) * 2023-01-30 2023-03-21 天津中科晶禾电子科技有限责任公司 一种复合半导体基板的制备方法及其装置系统

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1990014308A1 (en) * 1989-05-15 1990-11-29 Massachusetts Institute Of Technology Textured oxide compositions by directional oxidation
US5914297A (en) * 1996-04-05 1999-06-22 American Superconductor Corp Precursor composites for oxygen dispersion hardened silver sheathed superconductor composites
US20050248003A1 (en) * 2004-02-17 2005-11-10 Leonid Tsybeskov One dimensional nanostructures for vertical heterointegration on a silicon platform and method for making same
EP2487722A1 (en) * 2011-01-19 2012-08-15 Hitachi, Ltd. Light absorption layer
TWI692118B (zh) * 2012-02-05 2020-04-21 南韓商三星電子股份有限公司 半導體奈米晶體、其製造方法、組合物及產物

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1990014308A1 (en) * 1989-05-15 1990-11-29 Massachusetts Institute Of Technology Textured oxide compositions by directional oxidation
US5914297A (en) * 1996-04-05 1999-06-22 American Superconductor Corp Precursor composites for oxygen dispersion hardened silver sheathed superconductor composites
US20050248003A1 (en) * 2004-02-17 2005-11-10 Leonid Tsybeskov One dimensional nanostructures for vertical heterointegration on a silicon platform and method for making same
EP2487722A1 (en) * 2011-01-19 2012-08-15 Hitachi, Ltd. Light absorption layer
TWI692118B (zh) * 2012-02-05 2020-04-21 南韓商三星電子股份有限公司 半導體奈米晶體、其製造方法、組合物及產物

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
) *

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113380639A (zh) * 2021-05-26 2021-09-10 西安交通大学 一种原子级离子清洁活化低温键合装置及方法
WO2023272903A1 (zh) * 2021-07-01 2023-01-05 长鑫存储技术有限公司 一种半导体结构及其形成方法
US11973045B2 (en) 2021-07-01 2024-04-30 Changxin Memory Technologies, Inc. Semiconductor structure and method for forming same
CN115831784A (zh) * 2023-01-30 2023-03-21 天津中科晶禾电子科技有限责任公司 一种复合半导体基板的制备方法及其装置系统
CN115831784B (zh) * 2023-01-30 2023-04-21 天津中科晶禾电子科技有限责任公司 一种复合半导体基板的制备方法及其装置系统

Also Published As

Publication number Publication date
TW202220133A (zh) 2022-05-16

Similar Documents

Publication Publication Date Title
TWI725927B (zh) 低溫混合接合結構及其製造方法
CN112956011B (zh) 微电子学中在低温下进行直接金属间键合的层结构
US10790262B2 (en) Low temperature bonded structures
US10796913B2 (en) Method for hybrid wafer-to-wafer bonding
Ko et al. Low temperature bonding technology for 3D integration
US7576435B2 (en) Low-cost and ultra-fine integrated circuit packaging technique
US20240113059A1 (en) Low temperature bonded structures
JP4345808B2 (ja) 半導体装置の製造方法
US8299613B2 (en) Method for connecting two joining surfaces
US11205635B2 (en) Low temperature hybrid bonding structures and manufacturing method thereof
TWI464810B (zh) 形成經接合的半導體結構之方法及由該方法所形成之半導體結構
US9818736B1 (en) Method for producing semiconductor package
CN102610537B (zh) 一种半导体器件低温固态键合的方法
CN103715178A (zh) 双相介金属互连结构及其制作方法
KR102423021B1 (ko) 구리-구리 플립칩 인터커넥션 형성 방법 및 이에 의해 형성된 구리-구리 플립칩 인터커넥션부
CN111607811A (zh) 铜铜键合材料的制备方法及其应用
JP2004311574A (ja) インターポーザー及びその製造方法ならびに電子装置
US20140103522A1 (en) Semiconductor substrate, semiconductor device, and method of manfacturing semiconductor substrate
TWI779925B (zh) 具有半導體貫穿通孔的半導體元件及其製備方法
Shahane et al. Enabling chip-to-substrate all-Cu interconnections: design of engineered bonding interfaces for improved manufacturability and low-temperature bonding
Sosa et al. Cu pillar with nanocopper caps: the next interconnection node beyond traditional Cu pillar
CN102543783B (zh) 一种使用铟和微针锥结构的热压缩芯片低温互连方法
CN114899115A (zh) 一种金属热压键合的方法及应用
CN113178395B (zh) 多层堆叠模组层间互联工艺
KR101971493B1 (ko) 은코팅 구리 필라 접합 구조체 및 이를 이용한 접합 방법