TWI722155B - 半導體結構與其形成方法 - Google Patents

半導體結構與其形成方法 Download PDF

Info

Publication number
TWI722155B
TWI722155B TW106110273A TW106110273A TWI722155B TW I722155 B TWI722155 B TW I722155B TW 106110273 A TW106110273 A TW 106110273A TW 106110273 A TW106110273 A TW 106110273A TW I722155 B TWI722155 B TW I722155B
Authority
TW
Taiwan
Prior art keywords
fin
substrate
recess
lattice constant
semiconductor
Prior art date
Application number
TW106110273A
Other languages
English (en)
Other versions
TW201824556A (zh
Inventor
李承翰
馬志宇
張世杰
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201824556A publication Critical patent/TW201824556A/zh
Application granted granted Critical
Publication of TWI722155B publication Critical patent/TWI722155B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02381Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/02428Structure
    • H01L21/0243Surface structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
    • H01L21/02639Preparation of substrate for selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
    • H01L21/02639Preparation of substrate for selective deposition
    • H01L21/02642Mask materials other than SiO2 or SiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/04Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their crystalline structure, e.g. polycrystalline, cubic or particular orientation of crystalline planes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7849Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being provided under the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

結構包含階狀的結晶基板,其具有較高階狀物、較低階狀物、與階狀隆起。第一鰭狀物包含具有第一晶格常數的結晶結構。第一鰭狀物形成於較低階狀物上。第二鰭狀物包含具有第二晶格常數的結晶結構,且第二晶格常數不同於第一晶格常數。第二鰭狀物可形成於較高階狀物上,並與第一鰭狀物分隔。第二結晶結構可形成於結晶結構上,且鰭狀物的頂部對齊。第一鰭狀物與第二鰭狀物之組成可為相同材料(具有不同高度及不同通道應力值)。第一鰭狀物可作為互補式金氧半鰭狀場效電晶體的n型金氧半鰭狀物,而第二鰭狀物可作為互補式金氧半鰭狀場效電晶體的p型金氧半鰭狀物。

Description

半導體結構與其形成方法
本發明實施例關於半導體結構,更特別關於同時形成不同高度之鰭狀物的方法。
由於積體電路的尺寸縮小,且對積體電路速度的需求增加,電晶體需具有較高驅動電流及較小尺寸。因此發展鰭狀場效電晶體,其具有垂直的半導體鰭狀物於基板上。半導體鰭狀物用以形成源極與汲極區,以及源極區與汲極區之間的通道區。形成淺溝槽隔離區以定義半導體鰭狀物。鰭狀場效電晶體亦包含閘極堆疊,其形成於半導體鰭狀物的側壁與上表面上。雖然現有的鰭狀場效電晶體裝置與其形成方法適用於其發展目的,但仍無法完全適用於所有方面。舉例來說,目前亟需更彈性化的整合製程以形成鰭狀物與隔離結構。
本發明一實施例提供之半導體結構,包括:階狀的結晶基板,其包含較高階狀物、較低階狀物、與階狀隆起;第一鰭狀物,包含具有第一晶格常數的結晶結構,且第一鰭狀物形成於較低階狀物上;以及第二鰭狀物,包含具有第二晶格常數的結晶結構,第一晶格常數不同於第二晶格常數,且第二鰭狀物形成於較高階狀物上且與第一鰭狀物分隔。
θ:角度
h1:高度差
h2:厚度
h3、h4、h5:高度
w1、w2:寬度
101:半導體基板
103:階狀基板
103a:較低階狀物
103b:較高階狀物
105、117:阻絕層
109、121a、121b:開口
113:硬遮罩
113a、113b、113c:硬遮罩部份
125a、125b:凹陷
129a、129b:材料
133a、133b:半導體為主的磊晶
134a、134b:晶面部份
137:高密度硬遮罩
141a、141b:鰭狀物
第1-3、4a-4c、5-8圖係一些實施例中,鰭狀物場效電晶體之鰭狀物於製作方法之中間階段的剖視圖。
第9至11圖係一些實施例中,鰭狀物場效電晶體之鰭狀物於其他製作方法之中間階段的剖視圖。
下述內容提供的不同實施例或實例可實施本發明的不同結構。特定構件與排列的實施例係用以簡化本發明而非侷限本發明。舉例來說,形成第一構件於第二構件上的敘述包含兩者直接接觸,或兩者之間隔有其他額外構件而非直接接觸。此外,本發明之多種例子中可重複標號及/或符號,但這些重複僅用以簡化與清楚說明,不代表不同實施例及/或設置之間具有相同標號及/或符號的單元之間具有相同的對應關係。
此外,空間性的相對用語如「下方」、「其下」、「較下方」、「上方」、「較上方」、或類似用語可用於簡化說明某一元件與另一元件在圖示中的相對關係。空間性的相對用語可延伸至以其他方向使用之元件,而非侷限於圖示方向。元件亦可轉動90°或其他角度,因此方向性用語僅用以說明圖示中的方向。
多種實施例提供鰭狀場效電晶體與其形成方法。一些實施例說明鰭狀物場效電晶體之鰭狀物其製作方法的中間階段。下述內容將說明多種實施例。在下述實施例與圖式 中,類似標號將用以標示類似單元。
由於不同裝置種類可能需要不同的鰭狀物材料,磊晶成長鰭狀場效電晶體可能過於複雜。舉例來說,p型鰭狀物可由成長矽鍺而成,而n型鰭狀物可由成長另一半導體為主的材料(或比p型鰭狀物具有較少矽鍺的材料)而成。上述差異可能來自於鰭狀物底部所需的擊穿停止區,其中p型鰭狀物的次臨界漏電流問題比n型鰭狀物嚴重。磊晶不同材料可能造成不同的成長速率。即使鰭狀物由結晶基板上的相同材料所組成,要達到不同鰭狀物種類或不同鰭狀物應用所需的不同磊晶高度依然困難。
本發明實施例可讓鰭狀場效電晶體裝置中,磊晶成長於基板上的多個鰭狀物具有相同材料,但每一鰭狀物各自具有不同晶格常數與應力輪廓。這可讓一鰭狀物作為半導體裝置之n型半導體區中的n型鰭狀物,並讓另一鰭狀物作為半導體裝置之p型半導體區中的p型鰭狀物。包含n型鰭狀物的鰭狀場效電晶體,可用於形成採用p型摻雜基板的p型通道金氧半裝置。包含p型鰭狀物的鰭狀場效電晶體,可用於形成採用n型摻雜基板的n型通道金氧半裝置。兩種型態的鰭狀物(如上述之n型金氧半鰭狀物與p型金氧半鰭狀物),可一起用於形成互補式金氧半鰭狀場效電晶體裝置。此外,雖然兩種鰭狀物具有不同的有效高度,但其上表面對齊(具有相同高度),以利形成鰭狀場效電晶體的其他構件。
第1圖係製作鰭狀場效電晶體之半導體結構的製程其早期階段。半導體基板101可為部份的半導體晶圓或半導 體裝置。在本發明一些實施例中,半導體基板101包含結晶矽。可用於半導體基板101的其他材料包含碳、鍺、鎵、硼、砷、氮、銦、及/或磷、或類似物。半導體基板101亦可包含其他半導體材料如III-V族半導體化合物材料。半導體基板101可為基體基板或絕緣層上半導體基板。此外,半導體基板101可包含其他結構。舉例來說,半導體基板101可包含多種摻雜區,端視設計需求而定(比如p型基板或n型基板)。舉例來說,摻雜區可摻雜p型摻質如硼或BF2、n型摻質如磷或砷、及/或上述之組合。摻雜區可設置以用於n型鰭狀場效電晶體,或設置以用於p型鰭狀場效電晶體。
第1圖之阻絕層105可為光阻層或氧化矽阻絕層,其沉積於半導體基板101上後被圖案化,以形成開口於阻絕層105中。一般而言,光微影技術沉積光阻材料(如阻絕層105)後,照射光阻材料(曝光),並顯影光阻材料以移除部份光阻材料。保留的光阻材料保護其下的材料(如此例中的半導體基板101)免於後續製程步驟(如蝕刻)影響。在此例中,光阻材料可圖案化以定義半導體基板101將蝕刻的區域,以及保護半導體基板101不受蝕刻品影響的區域。
如第2圖所示,蝕刻半導體基板101以形成階狀基板103。階狀基板103可具有較低階狀物103a與較高階狀物103b。較低階狀物103a的高度低於較高階狀物103b的高度。較低階狀物103a與較高階狀物103b具有高度差h1。高度差h1可依應用變化。在一些實施例中,高度差h1介於約15nm至約100nm之間,比如約20nm。在其他實施例中,高度差h1可高達約1微 米。高度差h1可依不同的鰭狀物高度需求而最佳化。用以蝕刻開口109於半導體基板101,以形成階狀基板103的蝕刻可為乾蝕刻、濕蝕刻、電漿蝕刻、或類似方法。在一些實施例中,矽的蝕刻品可為氯氣或溴化氫。雖然可採用非等向蝕刻以形成第2圖中的階狀基板,一些實施例中的較高階狀物103b之側壁與頂部之間可具有角度θ。換言之,非等向蝕刻形成的角度θ可為約90度如圖示,而一些實施例的角度θ可大於或小於90度。舉例來說,角度θ可介於約75度至約130度之間,端視所用之蝕刻品與高低差h1而定。
在蝕刻半導體基板101以形成階狀基板103後,可移除阻絕層105。多種技術可用以移除阻絕層105,比如化學機械研磨、光阻溶劑、或蝕刻。
如第3圖所示,可形成硬遮罩113於階狀基板103上。硬遮罩之組成可為對蝕刻品具有高選擇性的任何合適材料,比如氧化矽、氮化矽、或氮氧化矽。用於硬遮罩113的其他合適材料可包含碳化矽、氮化鉭、氮化鈦、低介電常數材料(比如氧化矽、SiOCH、硼磷矽酸鹽玻璃、四乙氧基矽烷、旋轉塗佈玻璃、未摻雜的矽酸鹽玻璃、氟化矽酸鹽玻璃、高密度電漿氧化物、電漿增強四乙氧基矽烷、摻雜氟的氧化矽、摻雜碳的氧化矽、多孔氧化矽、多孔之摻雜碳的氧化矽、有機聚合物、或矽酮為主的聚合物)、或旋轉塗佈碳。在一些實施例中,沉積或形成硬遮罩113後,可平坦化(比如以化學機械研磨製程)硬遮罩113的上表面。
硬遮罩113的形成方法可為單一步驟或多重步驟 的製程。這些這製程可包含沉積如次壓化學氣相沉積、可流動的化學氣相沉積、化學氣相沉積、原子層沉積、或類似方法。在一些實施例中,硬遮罩113可施加如液狀,接著硬化、加熱、或回火。舉例來說,當硬遮罩113為旋轉塗佈碳時,其材料可施加如液狀,其原料包含的元素有碳、氫、氧、氮、氟、溴、與硫。旋轉塗佈碳材料可含有約50%至約95%的碳。
在一些實施例中,第一步驟先沉積厚度為高度差h1的硬遮罩113以填入開口109,而第二步驟再沉積厚度h2的硬遮罩113。厚度h2可等於或稍微大於所需的最小鰭狀物高度,其中最小鰭狀物高度係階狀基板103之較高階狀物103b的上表面與完成的鰭狀物之上表面之間的距離。
如第3圖所示,可沉積阻絕層117於硬遮罩113上。阻絕層117可為光阻層,其可沉積於硬遮罩113上後圖案化,以形成開口121a與121b於阻絕層117中。保留的光阻材料將保護其下方材料(如硬遮罩113)免於後續製程步驟(如蝕刻)影響。光阻材料可圖案化以定義開口121a與121b,以對應之後形成於階狀基板103上的鰭狀物位置。
如第4a圖所示,採用阻絕層117以形成凹陷125a與125b於硬遮罩113中。如第4a圖所示的一些實施例中,凹陷125a可垂直對準階狀基板103之階狀隆起,因此凹陷125a之一側包括此階狀隆起。如第4b圖所示的其他實施例中,凹陷125b可垂直對準階狀基板103之階狀隆起,因此凹陷125b的一側與較高階狀物130b的頂部邊緣一致。如第4c圖所示的其他實施例中,凹陷125a與125b位於硬遮罩113的兩側,且均不與階狀基板103 的階狀隆起一致。在這些實施例中,位於凹陷125a與125b之間的部份硬遮罩113可與階狀隆起重疊。
如第5圖所示,材料129a與129b可形成於凹陷125a與125b中。在一些實施例中,材料的形成方法可為自凹陷底部(即階狀基板的較高階狀物113a之上表面與較低階狀物113b之上表面)進行磊晶成長。磊晶於凹陷中的材料129a與129b可相同。由於每一凹陷中的材料相同,因此可降低成長材料129a與129b的成本與複雜性。同樣地,由於材料相同,因此以一般變數成長的材料129a與129b其成長速率類似。如此一來,階狀基板至個別材料129a與129b之上表面之間的距離,即材料129a與129b之高度幾乎相同。當磊晶成長材料時,材料將為結晶。由於階狀基板103包含結晶矽,其有助於作為磊晶材料129a與129b時的晶種。
磊晶的材料129a與129b之高度與寬度取決於鰭狀物技術與其應用。舉例來說,磊晶的材料129a與129b之成長高度可介於約25nm至約2微米之間,而成長寬度可介於約5nm至約1微米之間。材料129a與129b的高寬比可介於約2:1至約10:1之間。舉例來說,一些實施例中磊晶的材料129a與129b之高度可為約30nm,而寬度可為約8nm。
在一些實施例中,材料129a與129b之組成可為矽鍺。其他組成如純鍺、III族至V族的元素、或上述之組合亦可作為材料129a與129b,端視應用而定。
在實施例與第4a圖中的結構一致時,成長在凹陷125a中的材料129a中的應力鬆弛大於成長在凹陷125b中的材 料129b,因此階狀基板103之階狀隆起提供的額外成長表面具有較大的晶格常數。
當材料129a的晶格常數大於材料129b的晶格常數時,鰭狀物可用於不同應用。舉例來說,一實施例中含有材料129a的鰭狀物可用於n型電晶體,而含有材料129b的鰭狀物可用於p型電晶體。材料129a可視作虛擬的基板與應力提供者,以沉積材料於其上。
在其他實施例中,鰭狀物可依據所需的應用,用於其他設置。
由於材料129a與129b的磊晶成長受限於凹陷125a與125b兩側上的硬遮罩113,當磊晶成長材料129a與129b時,可能產生向外的橫向壓力(應力)至硬遮罩113。此向外的壓力可能造成或加強靠近成長區之硬遮罩113的密度增加。在第5圖所示的一些實施例中,上述壓力可能影響硬遮罩部份113a的左側,使靠近材料129a之硬遮罩部份113a具有較高密度。同樣地,上述壓力可能影響硬遮罩部份113c的右側,使靠近材料129b之硬遮罩部份113c具有較高密度。由於硬遮罩部份113b位於材料129a與129b之間,因此其承受來自材料129a與129b的壓力而具有更高密度。
如第6圖所示,半導體為主的磊晶133a與133b可分別成長於材料129a與129b上。由於第5圖之凹陷125a與125b具有不同深度,半導體為主的磊晶將成長超出凹陷以形成晶面部份134a與134b。半導體為主的磊晶亦可稱作半導體磊晶,其組成可包含矽、矽鍺、鍺、鍺合金如鍺錫、或其他種類的III-V 族化合物。材料129a之磊晶與半導體為主的磊晶133a之組成不同,且材料129b之磊晶與半導體為主的磊晶133b之組成不同。藉由不同晶格常數之不同材料,可誘導通道區中的拉伸/壓縮應力。半導體為主的磊晶133a與133b之寬度依循凹陷125a與125b的輪廓,而半導體為主的磊晶133a與133b之高度可大於磊晶成長材料129a與129b之後的凹陷125a與125b其深度(見第5圖)。在一些實施例中,半導體為主的磊晶133a並未成長超出凹陷125a。
如第7圖所示,可平坦化硬遮罩113的上表面,使半導體為主的磊晶133a與133b之上表面與硬遮罩113的上表面共平面。舉例來說,上述平坦化步驟可為化學機械研磨製程。平坦化步驟可不移除硬遮罩113,或移除一些硬遮罩113。在一些實施例中,平坦化步驟後的高度h3將小於第3圖中的厚度h2。在其他實施例中,平坦化步驟後的高度h3可與第3圖中的厚度h2實質上相同。
磊晶的材料129a與129b如矽鍺,將施加壓縮應力(正應力值)至與凹陷125a與125b相鄰的區域。磊晶的材料129a與129b亦可誘導拉伸應力(負應力值)至其上方的區域(比如半導體為主的磊晶133a與133b)。誘導的拉伸應力可造成通道如鰭狀物141a的上方區域中之載子移動。通道如鰭狀物141b中半導體為主的磊晶133b之體積與高度,明顯小於通道如鰭狀物141a中半導體為主的磊晶133a之體積與高度,這將造成用於n型鰭狀物(如n型金氧半鰭狀物)之應力的半導體為主的磊晶133a與用於p型鰭狀物(如p型金氧半鰭狀物)之磊晶的材料129b (如矽鍺)之間的應力差距。保留於磊晶的材料129b上之半導體為主的磊晶133b,可提供對後續步驟之高溫具有較高容忍度的層狀物。上述高溫的後續步驟(如回火)係用以製作鰭狀場效電晶體。
在平坦化步驟後,可移除硬遮罩113以露出鰭狀物141a與141b。硬遮罩113的移除方法可採用蝕刻品。蝕刻品可包含濕蝕刻品或乾蝕刻品。在一些實施例中,蝕刻矽可採用氧電漿、氮/氫電漿、或其他合適電漿。在一些實施例中,蝕刻品可移除大部份的硬遮罩113,不過仍保留一些硬遮罩113。舉例來說,一些實施例之硬遮罩113的密度因第5圖中磊晶的材料129a與129b而增加,因此蝕刻後仍保留一些高密度硬遮罩137。在一些實施例中,可移除所有硬遮罩113。
在蝕刻硬遮罩113後保留高密度硬遮罩137的實施例中,高密度硬遮罩137可作為隔離區,其性質如同淺溝槽隔離區。在硬遮罩113完全移除的實施例中,可另外沉積或形成隔離材料(如高密度硬遮罩)於鰭狀物141a與141b之間。
在一些實施例中,可沉積額外的隔離材料(未圖示)於鰭狀物141a之右側與鰭狀物141b之左側。
雖然圖式中的隔離區如高密度硬遮罩137具有平坦上表面,且此上表面與磊晶的材料129a與半導體為主的磊晶133a之間的接面一致,但一些實施例之隔離區如高密度硬遮罩137可具有弧狀上表面(比如u型剖面的上表面)。在一些實施例中,隔離區如高密度硬遮罩137可具有高度h5,其上表面可高於或低於磊晶的材料129a與半導體為主的磊晶133a之間的接 面。在一些實施例中,隔離區如高密度硬遮罩137的上表面低於磊晶的材料129a與半導體為主的磊晶133a之間的接面,且可另外形成隔離材料於隔離區如高密度硬遮罩137上。
第8圖所示之結構包含通道如鰭狀物141a與141b。鰭狀物之上表面對準自較低階狀物103a之上表面起算的高度h4。鰭狀物141a的總高度為高度h4。鰭狀物141b的總高度為高度h3。每一鰭狀物均包含相同的材料129a與129b,然而材料129a與129b可具有不同的晶格常數。每一鰭狀物亦包含半導體為主的磊晶133a與133b。隔離區如高密度硬遮罩137保留於兩個鰭狀物之間。在一些實施例中,鰭狀物141a可作為n型金氧半之應力矽通道。鰭狀物141b可作為p型金氧半之矽鍺通道(或其他磊晶材料通道,端視設計與應用而定)。
藉由改變不同裝置區中的鰭狀物高度,可增加接面的製程容忍度,即不同裝置區域中的鰭狀場效電晶體的鰭狀物高度不需一致。當不同裝置區中的鰭狀場效電晶體具有不同鰭狀物高度時,將更易於調整不同裝置區中的裝置效能。舉例來說,一實施例之鰭狀物141a為位於裝置區中的n型鰭狀物,且此裝置區與鰭狀場效電晶體之較低階狀物103a一致。鰭狀物141b為位於另一裝置區中的p型鰭狀物,且此裝置區與鰭狀場效電晶體之較高階狀物103b一致。n型的鰭狀物141a其有效的鰭狀物高度h4,大於p型的鰭狀物141b其有效的鰭狀物高度h3。綜上所述,n型的鰭狀物141a與p型的鰭狀物141b可用於相同的邏輯區。舉例來說,n型的鰭狀物141a可為上拉電晶體,而p型的鰭狀物141b可為下拉電晶體。與p型的鰭狀物141b其較 高電子移動率相較,n型的鰭狀物141a之較大鰭狀物高度可彌補其較低的電洞遷移率。如此一來,可平衡p型的鰭狀物141b之效能與n型的鰭狀物141a之效能。
在另一例中,一些實施例中的鰭狀物141a與141b可用以製作互補式金氧半場效電晶體裝置。然而每一鰭狀物可包含相同材料,因此可降低互補式金氧半裝置的製程複雜度。自第8圖中的結構形成互補式金氧半裝置,可具有階狀基板103之較低階狀物103a定義的n型區,以及階狀基板103之較高階狀物103b定義的p型區。n型區與p型區之間的交界可定義為階狀隆起。
在一些實施例中,可由第8圖中的結構形成互補式金氧半裝置,比如新增閘極絕緣物與閘極於鰭狀物及源極/汲極區上。由於鰭狀物141a與141b的頂部對齊,因此新增鰭狀場效電晶體的額外構件部份的製程,會比在鰭狀物141a與141b的頂部不對齊之結構新增額外構件部份的製程簡單。
應注意的是,雖然第8圖中的鰭狀場效電晶體結構包含兩個鰭狀物141a與141b,但此僅用以舉例說明。本技術領域中具有通常知識者應理解,在此結構之外仍有許多調整、替換、與變化。舉例來說,第8圖之半導體裝置可具有任何數目的鰭狀物,端視所需的不同應用與設計而定。
第9至11圖係多種其他實施例中,鰭狀場效電晶體之額外配置。
第9圖係一些實施例中的雙重互補式金氧半結構。第9圖的結構可由前述方法形成。第9圖之結構包含多重的 階狀基板103。階狀基板103之組成可為基體結晶基板或其他合適基板如前述。光阻可形成於基板之較高階狀物103b上,並蝕刻基板以形成凹陷於階狀基板的較低階狀物上。可移除光阻,再形成硬遮罩於階狀基板上。硬遮罩可形成於基板上,其形成方法與材料可與前述第3圖及相關內容一致。光阻層可形成於硬遮罩上並圖案化,以形成開口對應第9圖中的鰭狀物141a與141b。
硬遮罩可向下凹陷至階狀基板的表面,此製程可與前述第4圖及相關內容一致。第9圖之磊晶的材料129a與129b可成長於凹陷中,此製程可與前述第5圖及相關內容一致。磊晶的材料129a之晶格常數可大於磊晶的材料129b之晶格常數。半導體為主的磊晶133a與133b可各自成長於材料129a與129b上,此製程可與前述第6圖及相關內容一致。半導體為主的磊晶133a與133b可成長超出硬遮罩,以形成高於硬遮罩的晶面結構。可平坦化上述結構以移除成長超出硬遮罩之半導體為主的磊晶133a與133b,使半導體為主的磊晶133a與133b的上表面對齊。
接著可移除硬遮罩,並保留高密度硬遮罩147於鰭狀物141a與141b之間,如前述第8圖及相關內容。第9圖之較低階狀物103a定義的區域具有寬度w1,其可比第9圖所示之尺寸較寬或較窄。舉例來說,第10圖所示之另一實施例中,寬度w2明顯小於寬度w1。另一方面,第10圖所示的結構其形成方法,可與前述第9圖及相關內容一致。
第11圖係一些實施例中的雙互補式金氧半結構。 第11圖的結構之形成方法,可與前述方法(如前述第9圖及其相關內容)類似。第11圖與第9圖之結構類似,差別在於蝕刻基板而成的較低階狀物103a,位於鰭狀場效電晶體結構之外側而非內側。第11圖之結構包含多重的階狀基板103與四個鰭狀場效電晶體的鰭狀物,其形成方法可與前述第9圖及相關內容一致。較高階狀物103b之剖面寬度可大於或小於圖式中的寬度,這與第10圖中較低階狀物103a之寬度類似。
在一些實施例中,第9與11圖之實施例可結合以形成其他的階狀基板。
本發明實施例的優點包含鰭狀場效電晶體結構中的每一鰭狀物可具有不同應力值,且這些鰭狀物可由相同材料及相同製程步驟所形成。此外,一些實施例中的一鰭狀物的晶格常數,可不同於另一鰭狀物中相同材料的晶格常數。鰭狀場效電晶體可提供用於n型金氧半裝置的n型鰭狀物通道,以及用於p型金氧半裝置的p型鰭狀物通道,兩者可用於提供互補式金氧半鰭狀場效電晶體裝置。最後形成的鰭狀物結構可具有不同的鰭狀物高度,其具有不同的應力值與拉伸強度。鰭狀物結構可用於形成半導體裝置,其形成方法係藉由新增閘極介電物/絕緣物與閘極材料(垂直於鰭狀物並位於鰭狀物上)。此外可新增源極/汲極區。在一些實施例中,鰭狀物的源極區(或汲極區)可耦接在一起,端視應用而定。
在一些實施例中,結構可包含階狀的結晶基板,其包含較高階狀物、較低階狀物、與階狀隆起。第一鰭狀物可包含具有第一晶格常數的結晶結構。第一鰭狀物形成於較低階 狀物上。第二鰭狀物可包含具有第二晶格常數的結晶結構,且第一晶格常數不同於第二晶格常數。第二鰭狀物可形成於較高階狀物上且與第一鰭狀物分隔。
在一些實施例中,上述結構之第一鰭狀物的上表面與第二鰭狀物的上表面齊平。
在一些實施例中,上述結構更包括隔離區形成於第一鰭狀物與第二鰭狀物之間,且隔離區包含隔離材料。
在一些實施例中,上述結構之第一鰭狀物的一側接觸階狀隆起。
在一些實施例中,上述結構之第一鰭狀物包含第一磊晶材料,且第二鰭狀物包含第一磊晶材料。
在一些實施例中,上述結構之第一鰭狀物中的第一磊晶材料具有第一晶格常數,而第二鰭狀物中的第一磊晶材料具有第二晶格常數。
在一些實施例中,上述結構之第一鰭狀物包含第二磊晶材料,第二鰭狀物包含第二磊晶材料,且第二磊晶材料為半導體為主的材料。
在一些實施例中,上述結構之第一磊晶材料為矽鍺,而第二磊晶材料為矽。
在一些實施例中,上述結構之第一鰭狀物包含n型通道,而第二鰭狀物包含p型通道,其中第一鰭狀物的高度大於第二鰭狀物的高度。
在一些實施例中,上述結構之第一鰭狀物包含應力的矽通道,而第二鰭狀物包含矽鍺為主的通道。
在一些實施例中,半導體結構可包括第一鰭狀物與第二鰭狀物。第一鰭狀物可具有自基板量測的第一高度。第二鰭狀物可具有自基板量測的第二高度。第一高度可大於第二高度。或者換言之,第一鰭狀物可高於第二鰭狀物。第一鰭狀物的上表面可與第二鰭狀物的上表面齊平。
在一些實施例中,上述半導體結構之第一鰭狀物與第二鰭狀物包含相同材料。
在一些實施例中,上述半導體結構的相同材料係由磊晶成長而成。
在一些實施例中,上述半導體結構之第一鰭狀物具有第一晶格常數,而第二鰭狀物具有第二晶格常數,且第一晶格常數不同於第二晶格常數。
在一些實施例中,方法包括:蝕刻基板以形成階狀基板,其具有較高階狀物與較低階狀物。可沉積硬遮罩於階狀基板上。可形成第一凹陷與第二凹陷於硬遮罩中,第一凹陷位於較低階狀物上,而第二凹陷位於較高階狀物上。可磊晶成長第一磊晶材料於第一凹陷與第二凹陷中。可移除硬遮罩。
在一些實施例中,上述方法更包括:磊晶成長第二磊晶材料於第一凹陷與第二凹陷中,且第二磊晶材料的晶面頂部凸起超出硬遮罩;以及平坦化第二磊晶材料,使第二磊晶材料之上表面齊平。
在一些實施例中,上述方法之第一凹陷中的第一磊晶材料具有第一晶格常數,而第二凹陷中的第一磊晶材料具有第二晶格常數,且第一晶格常數不同於第二晶格常數。
在一些實施例中,上述方法移除硬遮罩之步驟包括:蝕刻硬遮罩,並保留部份的硬遮罩於第一凹陷中的第一磊晶材料與第二凹陷中的第一磊晶材料之間。
在一些實施例中,上述方法之第一磊晶材料為矽鍺,而第二磊晶材料為矽。
在一些實施例中,上述方法之第一凹陷的側壁具有較高階狀物的階狀隆起。
上述實施例之特徵有利於本技術領域中具有通常知識者理解本發明。本技術領域中具有通常知識者應理解可採用本發明作基礎,設計並變化其他製程與結構以完成上述實施例之相同目的及/或相同優點。本技術領域中具有通常知識者亦應理解,這些等效置換並未脫離本發明精神與範疇,並可在未脫離本發明之精神與範疇的前提下進行改變、替換、或更動。
w1:寬度
103:階狀基板
103a:較低階狀物
103b:較高階狀物
129a、129b:材料
133a、133b:半導體為主的磊晶
137:高密度硬遮罩
141a、141b:鰭狀物

Claims (10)

  1. 一種半導體結構,包括:一階狀的結晶基板,其包含一較高階狀物、一較低階狀物、與一階狀隆起;一第一鰭狀物,包含具有一第一晶格常數的第一結晶結構,且該第一鰭狀物形成於該較低階狀物上;以及一第二鰭狀物,包含具有一第二晶格常數的第二結晶結構,其中該第一結晶結構的材料與該第二結晶結構的材料相同,且該第一晶格常數不同於該第二晶格常數,且該第二鰭狀物形成於該較高階狀物上且與該第一鰭狀物分隔。
  2. 如申請專利範圍第1項所述之半導體結構,其中該第一鰭狀物的上表面與該第二鰭狀物的上表面齊平。
  3. 一種半導體結構,包括:一第一鰭狀物,具有自一基板測量的一第一高度,其中該第一鰭狀物包括一第一材料及位於該第一材料上的一第一半導體磊晶;以及一第二鰭狀物,具有自基板測量的一第二高度,其中該第一高度大於該第二高度,且該第一鰭狀物的上表面與該第二鰭狀物的上表面齊平,其中該第二鰭狀物包括一第二材料及位於該第二材料上的一第二半導體磊晶,其中該第一材料的底表面低於該第二材料的底表面,且該第一材料的頂表面低於該第二材料的頂表面。
  4. 如申請專利範圍第3項所述之半導體結構,其中該第一鰭狀物與該第二鰭狀物包含相同材料。
  5. 一種半導體結構的形成方法,包括:蝕刻一基板以形成一階狀基板,其具有一較高階狀物與一較低階狀物;沉積一硬遮罩於該階狀基板上;形成一第一凹陷與一第二凹陷於該硬遮罩中,該第一凹陷位於該較低階狀物上,而該第二凹陷位於該較高階狀物上;磊晶成長一第一磊晶材料於該第一凹陷與該第二凹陷中;磊晶成長一第二磊晶材料於該第一凹陷與該第二凹陷中,且該第二磊晶材料的晶面頂部凸起超出該硬遮罩;平坦化該第二磊晶材料,使該第二磊晶材料之上表面齊平;以及移除該硬遮罩。
  6. 如申請專利範圍第5項所述之半導體結構的形成方法,其中該第一凹陷具有一第一側壁於該較高階狀物上,以及一第二側壁於該較低階狀物上。
  7. 一種半導體結構的形成方法,包括:蝕刻一基板以形成一階狀基板,其包含一較上階狀物與一較下階狀物;沉積一第一材料於該階狀基板上;蝕刻一第一凹陷與一第二凹陷於該第一材料中;成長一第一鰭狀物於該第一凹陷中,該第一鰭狀物包括一第一晶格常數的一第一結晶結構,且該第一鰭狀物形成於該較下階狀物上;成長一第二鰭狀物於該第二凹陷中,該第二鰭狀物包括一 第二晶格常數的一第二結晶結構,該第二晶格常數與該第一晶格常數不同,且該第二鰭狀物形成於該較上階狀物上並與該第一鰭狀物分隔;平坦化該第一材料;以及移除該第一材料的至少一部份。
  8. 如申請專利範圍第7項所述之半導體結構的形成方法,其中該第一鰭狀物的上表面與該第二鰭狀物的上表面齊平。
  9. 一種半導體結構的形成方法,包括:形成一第一鰭狀物於一基板上,且該第一鰭狀物具有自該基板的上表面測量的一第一高度,其中該第一鰭狀物包括一第一材料及位於該第一材料上的一第一半導體磊晶;以及形成一第二鰭狀物於該基板上,且該第二鰭狀物具有自該基板的上表面測量的一第二高度,其中該第二鰭狀物包括一第二材料及位於該第二材料上的一第二半導體磊晶,其中該第一材料的底表面並未齊平於該第二材料的底表面,且該第一材料的頂表面並未齊平於該第二材料的頂表面;其中該基板的上表面為一階狀表面,其中該第一高度大於該第二高度,其中該第一鰭狀物的上表面與該第二鰭狀物的上表面齊平,且其中該第一鰭狀物的較上部分具有第一晶格常數而該第二鰭狀物的較上部分具有一第二晶格常數,而該第一晶格常數與該第二晶格常數不同。
  10. 如申請專利範圍第9項所述之半導體結構的形成方法,其中該第一鰭狀物與該第二鰭狀物包括相同材料。
TW106110273A 2016-10-24 2017-03-28 半導體結構與其形成方法 TWI722155B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/332,055 2016-10-24
US15/332,055 US10510618B2 (en) 2016-10-24 2016-10-24 FinFET EPI channels having different heights on a stepped substrate

Publications (2)

Publication Number Publication Date
TW201824556A TW201824556A (zh) 2018-07-01
TWI722155B true TWI722155B (zh) 2021-03-21

Family

ID=61969784

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106110273A TWI722155B (zh) 2016-10-24 2017-03-28 半導體結構與其形成方法

Country Status (3)

Country Link
US (3) US10510618B2 (zh)
CN (1) CN107978637B (zh)
TW (1) TWI722155B (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10510618B2 (en) * 2016-10-24 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET EPI channels having different heights on a stepped substrate
US10043900B1 (en) * 2017-03-20 2018-08-07 International Business Machines Corporation Vertical transport Fin field effect transistors on a substrate with varying effective gate lengths

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140124863A1 (en) * 2012-11-07 2014-05-08 International Business Machines Corporation Method and structure for forming a localized soi finfet
US20140284723A1 (en) * 2009-12-03 2014-09-25 Taiwan Semiconductor Manufacturing Company, Ltd. Finfets with different fin height and epi height setting

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7667271B2 (en) 2007-04-27 2010-02-23 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistors
US8440517B2 (en) 2010-10-13 2013-05-14 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET and method of fabricating the same
US8497528B2 (en) 2010-05-06 2013-07-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating a strained structure
US9245805B2 (en) 2009-09-24 2016-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Germanium FinFETs with metal gates and stressors
US8362575B2 (en) 2009-09-29 2013-01-29 Taiwan Semiconductor Manufacturing Company, Ltd. Controlling the shape of source/drain regions in FinFETs
US8610240B2 (en) 2009-10-16 2013-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit with multi recessed shallow trench isolation
US8729627B2 (en) 2010-05-14 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel integrated circuit devices
US8796759B2 (en) 2010-07-15 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. Fin-like field effect transistor (FinFET) device and method of manufacturing same
US8367498B2 (en) 2010-10-18 2013-02-05 Taiwan Semiconductor Manufacturing Company, Ltd. Fin-like field effect transistor (FinFET) device and method of manufacturing same
US8962400B2 (en) 2011-07-07 2015-02-24 Taiwan Semiconductor Manufacturing Company, Ltd. In-situ doping of arsenic for source and drain epitaxy
US8841701B2 (en) 2011-08-30 2014-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device having a channel defined in a diamond-like shape semiconductor structure
US8723272B2 (en) 2011-10-04 2014-05-13 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of manufacturing same
US8723236B2 (en) 2011-10-13 2014-05-13 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of manufacturing same
US8748989B2 (en) * 2012-02-28 2014-06-10 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field effect transistors
US8847293B2 (en) 2012-03-02 2014-09-30 Taiwan Semiconductor Manufacturing Company, Ltd. Gate structure for semiconductor device
US8785285B2 (en) 2012-03-08 2014-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US8836016B2 (en) 2012-03-08 2014-09-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structures and methods with high mobility and high energy bandgap materials
US8680576B2 (en) 2012-05-16 2014-03-25 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS device and method of forming the same
US8729634B2 (en) 2012-06-15 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with high mobility and strain channel
US8766363B2 (en) * 2012-11-07 2014-07-01 International Business Machines Corporation Method and structure for forming a localized SOI finFET
US8809139B2 (en) 2012-11-29 2014-08-19 Taiwan Semiconductor Manufacturing Company, Ltd. Fin-last FinFET and methods of forming same
US8853025B2 (en) 2013-02-08 2014-10-07 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET/tri-gate channel doping for multiple threshold voltage tuning
US9093514B2 (en) 2013-03-06 2015-07-28 Taiwan Semiconductor Manufacturing Co., Ltd. Strained and uniform doping technique for FINFETs
TWI642192B (zh) * 2015-04-08 2018-11-21 聯華電子股份有限公司 具有鰭狀結構的半導體裝置的製造方法
US9741623B2 (en) * 2015-08-18 2017-08-22 Globalfoundries Inc. Dual liner CMOS integration methods for FinFET devices
US10510618B2 (en) * 2016-10-24 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET EPI channels having different heights on a stepped substrate

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140284723A1 (en) * 2009-12-03 2014-09-25 Taiwan Semiconductor Manufacturing Company, Ltd. Finfets with different fin height and epi height setting
US20140124863A1 (en) * 2012-11-07 2014-05-08 International Business Machines Corporation Method and structure for forming a localized soi finfet

Also Published As

Publication number Publication date
TW201824556A (zh) 2018-07-01
US10510618B2 (en) 2019-12-17
US11545399B2 (en) 2023-01-03
CN107978637B (zh) 2022-11-18
US20230154802A1 (en) 2023-05-18
US20180114729A1 (en) 2018-04-26
CN107978637A (zh) 2018-05-01
US20200098645A1 (en) 2020-03-26

Similar Documents

Publication Publication Date Title
TWI573267B (zh) 半導體裝置與製作非平面電路裝置的方法
US10256341B2 (en) Self-aligned silicon germanium FinFET with relaxed channel region
KR101646844B1 (ko) 비평면 화합물 반도체 디바이스에 대한 채널 변형 제어
TWI487107B (zh) 用於半導體電晶體之垂直鰭狀結構及其製造方法
TWI505349B (zh) 製造半導體裝置之方法
JP5225108B2 (ja) ソース/ドレインストレッサ、及び中間誘電体層ストレッサを統合する半導体の製造方法
CN106653751B (zh) 半导体器件及其制造方法
KR20120058962A (ko) 반도체 장치의 제조 방법
US20230154802A1 (en) Finfet epi channels having different heights on a stepped substrate
US10497810B2 (en) Method for fabricating semiconductor device
TWI525823B (zh) 積體電路裝置及其製造方法
US20070196996A1 (en) Semiconductor devices and methods of manufacturing thereof
US9953976B2 (en) Effective device formation for advanced technology nodes with aggressive fin-pitch scaling
US11610980B2 (en) Method for processing a FinFET device
US9093473B2 (en) Method for fabricating metal-oxide semiconductor transistor
US9324618B1 (en) Methods of forming replacement fins for a FinFET device
TW202133227A (zh) 半導體裝置之製造方法
TWI699886B (zh) 半導體裝置及其製造方法
US11637041B2 (en) Method of forming high mobility complementary metal-oxide-semiconductor (CMOS) devices with fins on insulator
CN109671675B (zh) 半导体结构及其形成方法
TWI582832B (zh) 磊晶層的製作方法