TWI687974B - 製造半導體裝置的方法 - Google Patents

製造半導體裝置的方法 Download PDF

Info

Publication number
TWI687974B
TWI687974B TW107113078A TW107113078A TWI687974B TW I687974 B TWI687974 B TW I687974B TW 107113078 A TW107113078 A TW 107113078A TW 107113078 A TW107113078 A TW 107113078A TW I687974 B TWI687974 B TW I687974B
Authority
TW
Taiwan
Prior art keywords
patterned
layer
hard mask
mask layer
target
Prior art date
Application number
TW107113078A
Other languages
English (en)
Other versions
TW201931437A (zh
Inventor
施信益
Original Assignee
南亞科技股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 南亞科技股份有限公司 filed Critical 南亞科技股份有限公司
Publication of TW201931437A publication Critical patent/TW201931437A/zh
Application granted granted Critical
Publication of TWI687974B publication Critical patent/TWI687974B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823468MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/09Manufacture or treatment with simultaneous manufacture of the peripheral circuit region and memory cells

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • General Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

一種製造半導體裝置的方法,包括形成第一圖案化目標層於基板上,其中第一圖案化目標層具有沿著第一方向延伸之多個第一開口;形成圖案化硬遮罩層於第一圖案化目標層之上,其中圖案化硬遮罩層具有沿著第二方向延伸之多個第一凹槽和沿著第一方向延伸之多個第二凹槽;形成圖案化光阻層於圖案化硬遮罩層之上,其中圖案化光阻層具有沿著第二方向延伸之多個條狀結構和沿著第一方向延伸之多個塊狀結構;以及通過使用圖案化硬遮罩層和圖案化光阻層作為蝕刻遮罩,蝕刻圖案化光阻層、圖案化硬遮罩層、以及第一圖案化目標層以形成第二圖案化目標層。

Description

製造半導體裝置的方法
本發明實施例係有關一種製造半導體裝置的方法;特別是關於一種形成半導體裝置的精細圖案的方法。
半導體積體電路(integrated circuit,IC)行業已經經歷了快速發展。在積體電路製造技術的發展中,產生了數代的積體電路,並且所製造的每一代電路都比上一代更小、更複雜。通過增加光微影製程的解析度可以減小圖案的臨界尺寸,但此種方法的花費通常很高。為了改善此問題,提出了所謂的雙重圖案化技術。然而,傳統技術已經無法在所有方面都令人滿意,因此需要開發一種更具成本效益的方法。
本發明之一態樣係提供一種製造半導體裝置的方法,包括以下操作:形成一第一圖案化目標層於具有一第一區域和一第二區域之一基板上,其中第一圖案化目標層具有沿著一第一方向延伸之複數個第一開口於第一區域中,且 第一開口暴露出基板的一部分;形成一圖案化硬遮罩層於第一圖案化目標層之上,其中圖案化硬遮罩層具有沿著第二方向延伸之複數個第一凹槽於第一區域中和沿著第一方向延伸之複數個第二凹槽於第二區域中;形成一圖案化光阻層於圖案化硬遮罩層之上,其中圖案化光阻層具有沿著第二方向延伸之複數個條狀結構於第一區域中和沿著第一方向延伸之複數個塊狀結構於第二區域中;以及通過使用圖案化硬遮罩層和圖案化光阻層作為蝕刻遮罩,蝕刻圖案化光阻層、圖案化硬遮罩層、以及第一圖案化目標層以形成一第二圖案化目標層。
在本發明某些實施方式中,各條狀結構的一寬度小於各第一凹槽的一寬度,各塊狀結構的一寬度小於各第二凹槽的一寬度,各條狀結構與對應的一個第一凹槽的一部分重疊,且各塊狀結構與對應的一個第二凹槽的一部分重疊。
在本發明某些實施方式中,第一區域中的第二圖案化目標層的一俯視輪廓的複數個幾何形狀為菱形。
在本發明某些實施方式中,第一區域中的第二圖案化目標層的一俯視輪廓的複數個幾何形狀為矩形。
在本發明某些實施方式中,形成第一圖案化目標層於基板上的操作進一步包括以下操作:按順序地形成一目標層、一下硬遮罩層、以及一第一上硬遮罩層於基板上;圖案化第一上硬遮罩層以形成一圖案化第一上硬遮罩層於下硬遮罩層上,其中圖案化第一上硬遮罩層具有暴露出下硬 遮罩層的部分的複數個第二開口;共形地形成一間隔層於圖案化第一上硬遮罩層的上表面和側壁上以及下硬遮罩層的暴露部分的上表面上;蝕刻間隔層以形成一圖案化間隔層,其中圖案化間隔層包括位於圖案化第一上硬遮罩層的側壁上的複數個間隔物,且相鄰的兩個間隔物的側壁彼此被第一區域中的一第三開口所隔開;使用與圖案化第一上硬遮罩層相同的一材料填充第三開口以形成一第二上硬遮罩層,其中圖案化間隔層的間隔物的頂表面暴露於第二上硬遮罩層外;通過使用第二上硬遮罩層作為一蝕刻遮罩,蝕刻圖案化間隔層的間隔物和下硬遮罩層以形成一圖案化下硬遮罩層;以及蝕刻第二上硬遮罩層、圖案化下硬遮罩層、以及目標層以形成第一圖案化目標層。
在本發明某些實施方式中,蝕刻間隔層、蝕刻圖案化間隔層的間隔物和下硬遮罩層、以及蝕刻第二上硬遮罩層、圖案化下硬遮罩層、以及目標層之操作是通過非等向性蝕刻製程來執行。
在本發明某些實施方式中,下硬遮罩層具有由不同材料所製成的複數個層。
在本發明某些實施方式中,間隔層和第一上硬遮罩層是由不同的材料所製成。
在本發明某些實施方式中,間隔層和第一上硬遮罩層包括矽、氮化矽、矽碳氮化物或氧化矽。
在本發明某些實施方式中,蝕刻圖案化光阻層、圖案化硬遮罩層、以及第一圖案化目標層之操作是通過非等向性蝕刻製程來執行。
在本發明某些實施方式中,圖案化硬遮罩層具有由不同材料所製成的複數個層。
100‧‧‧基板
110‧‧‧第一區域
120‧‧‧第二區域
200‧‧‧目標層
210‧‧‧圖案化目標層
211‧‧‧開口
220a、220b‧‧‧幾何形狀
221‧‧‧開口
300‧‧‧下硬遮罩層
310‧‧‧圖案化下硬遮罩層
310a‧‧‧凸部
310b‧‧‧凹部
311‧‧‧凹槽
400‧‧‧上硬遮罩層
410‧‧‧圖案化上硬遮罩層
411‧‧‧開口
420‧‧‧材料
430‧‧‧上硬遮罩層
500‧‧‧間隔層
510‧‧‧圖案化間隔層
511‧‧‧開口
600‧‧‧圖案化光阻層
601‧‧‧開口
710‧‧‧有機層
710'‧‧‧圖案化有機層
710a、710b‧‧‧開口
720‧‧‧有機層
800‧‧‧硬遮罩層
810‧‧‧圖案化硬遮罩層
810a‧‧‧凸部
810b‧‧‧凹部
811a、811b‧‧‧凹槽
820‧‧‧圖案化硬遮罩層
820a‧‧‧條狀結構
820b‧‧‧塊狀結構
821a、821b‧‧‧開口
910‧‧‧圖案化光阻層
910a‧‧‧條狀結構
910b‧‧‧塊狀結構
911a、911b‧‧‧開口
920‧‧‧圖案化光阻層
920a‧‧‧條狀結構
920b‧‧‧塊狀結構
T1、T2、T3、T4‧‧‧厚度
D1‧‧‧第一方向
D2‧‧‧第二方向
當結合附圖閱讀時,從以下詳細描述中可以更好地理解本揭露之各個方面。應注意,依據工業中之標準實務,多個特徵並未按比例繪製。實際上,多個特徵之尺寸可任意增大或縮小,以便使論述明晰。
第1A圖~第16A圖為根據本發明一些實施例的用於形成半導體裝置的圖案化方法的各個階段的俯視示意圖;第1B圖~第16B圖為分別對應第1A圖~第16A圖的剖面示意圖。
現在將詳細描述本發明的當前實施例,實例描繪於附圖中。在附圖和說明書中所使用的相同附圖標記用於表示相同或相似的部分。
在更詳細地描述優選實施例之前,將對在整個說明書中可能使用的某些術語給出進一步的解釋。
在此使用的術語「使...形成」、「形成」、「沉積」或「設置」是用來描述將材料層施加到另一層的動作。 此種術語旨在描述任何可能的「層形成技術」,包括但不限於熱成長、濺鍍、蒸鍍、化學氣相沉積、磊晶生長、以及電鍍等。在本揭示內容的各種實施例中,可以根據任何適當的眾所周知的方法來執行沉積。舉例來說,沉積可以包括生長、塗覆或轉移材料到指定層上的任何製程。一些眾所周知的技術包括物理氣相沉積(physical vapor deposition,PVD)、化學氣相沉積(chemical vapor deposition,CVD)、電化學沉積(electrochemical deposition,ECD)、分子束磊晶(molecular beam epitaxy,MBE),原子層沉積(atomic layer deposition,ALD)、以及電漿輔助化學氣相沉積(plasma-enhanced CVD,PECVD)。
第1A圖~第8A圖為根據本發明一些實施例的用於形成半導體裝置的圖案化方法的各個階段的俯視示意圖,而第1B圖~第8B圖則分別為對應於第1A圖~第8A圖的沿著線A-A'截取的剖面示意圖。請參照俯視示意圖及其各自的剖面示意圖以更好地理解本發明中提供的示例性製造流程。
請參照第1A圖和第1B圖,按順序地形成目標層200、下硬遮罩層300,上硬遮罩層400、以及圖案化光阻層600於基板100上。基板100具有第一區域110和第二區域120。在本發明的一個實施例中,第一區域110可以是陣列區域,並且第二區域120可以是周邊區域。目標層200、下硬遮罩層300、以及上硬遮罩層400的厚度可由本領域技 術人員適當地改變。圖案化光阻層600具有多個開口601,且開口601暴露第一區域110中的上硬遮罩層400的部分。在本發明的一個實施例中,基板100可以是矽基板。或者,基板100可以包括另一種元素半導體、一種化合物半導體或其組合。所述另一種元素半導體例如鍺。所述化合物半導體包括IV-IV族化合物半導體和III-V族化合物半導體,其中IV-IV族化合物半導體例如SiC和SiGe,III-V族化合物半導體例如GaAs、GaP、GaN、InP、InAs、InSb、GaAsP、AlGaN、AlInAs、AlGaAs、GaInAs、GaInP、和/或GaInAsP。在本發明的一個實施例中,基板100可以是絕緣體上覆矽(silicon-on insulator,SOI)基板的矽層。也可以使用氧化矽(SiO2)等絕緣材料作為基板100。在本發明的一個實施例中,目標層200可以是金屬層或介電材料層。在本發明的一個實施例中,下硬遮罩層300或上硬遮罩層400可為非晶碳層、矽層、氮化矽(SiN)層、矽碳氮化物(SiCN)層或氧化矽(SiO2)層,但不限於此。應當理解的是,下硬遮罩層300和上硬遮罩層400是由不同的材料所製成。在本發明的一個實施例中,下硬遮罩層300具有由不同材料所製成的多個層。
請參照第2A圖和第2B圖,通過使用圖案化光阻層600作為蝕刻遮罩,蝕刻上硬遮罩層400以形成圖案化上硬遮罩層410於下硬遮罩層300上。然後,去除圖案化光阻層600。圖案化上硬遮罩層410具有對應於圖案化光阻層600的開口601的多個開口411。開口411暴露出下硬遮罩層 300的部分。在本發明的一個實施例中,通過非等向性蝕刻製程來執行對上硬遮罩層400的蝕刻,例如本領域中已知的乾式蝕刻製程。
請參照第3A圖和第3B圖,共形地形成間隔層500於圖案化上硬遮罩層410的上表面和側壁上、以及下硬遮罩層300的暴露部分的頂表面上。在本發明的一個實施例中,間隔層500可以包括矽、氮化矽(SiN)、碳氮化矽(SiCN)或氧化矽(SiO2)。應當理解的是,間隔層500和圖案化上硬遮罩層410是由不同的材料所製成。
請參照第4A圖和第4B圖,回蝕間隔層500以形成圖案化間隔層510。圖案化間隔層510包括位於圖案化上硬遮罩層410的側壁上的多個間隔物。相鄰間隔物的側壁彼此被第一區域110中的開口511所隔開。部分的下硬遮罩層300的上表面被對應的開口511所暴露。在本發明的一個實施例中,通過非等向性蝕刻製程來執行對間隔層500的蝕刻,例如本領域中已知的乾式蝕刻製程。
請參照第5A圖和第5B圖,沉積與圖案化上硬遮罩層410相同的材料420以覆蓋圖案化上硬遮罩層410和圖案化間隔層510,並填充開口511。
隨後,如第6A圖和第6B圖所示,去除材料420的上部以形成上硬遮罩層430。圖案化間隔層510的間隔物的頂表面暴露於上硬遮罩層430外。在本發明的一個實施例中,去除材料420的上部可以通過化學機械研磨(chemical mechanical polish,CMP)製程、回蝕製程等來實現。
請參照第7A圖和第7B圖,通過使用上硬遮罩層430作為蝕刻遮罩,蝕刻圖案化間隔層510的間隔物和下硬遮罩層300以形成圖案化下硬遮罩層310。在本發明的一個實施例中,通過非等向性蝕刻製程來執行對圖案化間隔層510的間隔物和下硬遮罩層300的蝕刻,例如本領域中已知的乾式蝕刻製程。在本發明的一個實施例中,在進行蝕刻製程之後,所形成的圖案化下硬遮罩層310具有多個凹槽311於第一區域110中。換言之,圖案化下硬遮罩層310具有多個凸部310a及多個凹部310b。所述凸部310a具有第一厚度T1。所述凹部310b具有小於第一厚度T1的第二厚度T2。本領域技術人員可以適當地改變第一厚度T1和第二厚度T2。如圖所示,凸部310a被上硬遮罩層430所覆蓋,而凹部310b則被上硬遮罩層430的對應的開口所暴露。在本發明的另一實施例中,在執行蝕刻製程之後,形成的圖案化下硬遮罩層310具有多個開口,而這些開口暴露出第一區域110中的目標層200的部分(未繪示)。
請參照第8A圖和第8B圖,蝕刻上硬遮罩層430、圖案化下硬遮罩層310、以及目標層200以形成第一圖案化目標層210。在一些實施例中,可以全面性的方式執行蝕刻製程,使得上硬遮罩層430和圖案化下硬遮罩層310被完全蝕刻掉,而目標層200則部分蝕刻。具體地,當凹部310b和其底下的目標層200的部分被蝕刻掉以形成穿過目標層200的多個開口211時,停止蝕刻製程。目標層200的剩餘部分構成具有開口211的第一圖案化目標層210。具體 而言,目標層200的剩餘部分(即第一圖案化目標層210)對應於凸部310a,其基本上具有與上硬遮罩層430相同的輪廓。在一些實例中,開口211沿著第一方向D1延伸,並且位於第一區域110中。開口211暴露出基板100的一部分。在本發明的一個實施例中,通過非等向性蝕刻製程來執行對上硬遮罩層430、圖案化下硬遮罩層310、以及目標層200的蝕刻,例如本領域中已知的乾式蝕刻製程。
為了更好地理解本發明的以下步驟,第9A圖和第9B圖以不同的方式繪示了與第8A圖和第8B圖相同的結構,其中第9B圖繪示出沿著第9A圖中的線B-B'和線C-C'截取的剖面示意圖。此外,第10A圖~第15A圖為根據本發明一些實施例的圖案化方法的後續製程的俯視示意圖,而第10B圖~第15B圖則分別為對應於第10A圖~第15A圖的沿著線B-B'和線C-C'截取的剖面示意圖。
請參照第10A圖和第10B圖,按順序地形成有機層710、硬遮罩層800、以及圖案化光阻層910於第一圖案化目標層210上。有機層710填充開口211。本領域技術人員可以適當地改變有機層710、硬遮罩層800、以及圖案化光阻層910的厚度。在本發明的一個實施例中,有機層710可以包括聚酯(polyester,PET)、聚烯烴、樹脂或其他合適的有機材料。在本發明的一個實施例中,有機層710可以通過旋轉塗佈製程來形成,並且有機層710的頂表面基本上是平坦的。在本發明的一個實施例中,硬遮罩層800可為非晶碳層、矽層、氮化矽(SiN)層、矽碳氮化物(SiCN) 層或氧化矽(SiO2)層,但不限於此。在本發明的一個實施例中,硬遮罩層800具有由不同材料所製成的多個層。圖案化光阻層910具有沿著第二方向D2延伸的多個條狀結構910a於第一區域110中和沿著第一方向D1延伸的多個塊狀結構910b於第二區域120中。如圖所示,兩個相鄰的條狀結構910a被第一區域110中的第一開口911a所隔開。類似地,兩個相鄰的塊狀結構910b被第二區域120中的第二開口911b所隔開。應當理解的是,雖然在第10A圖中所繪示的每個塊狀結構910b是長條形的塊狀結構,但是在一些實施例中,每個塊狀結構910b可以被沿第一方向D1設置的多個子塊狀結構所替代(未繪示)。在這樣的實施例中,兩個相鄰的子塊狀結構被暴露出第二區域120中的硬遮罩層800的一部分的開口所隔開。第一開口911a暴露第一區域110中的硬遮罩層800的一部分。第二開口911b暴露第二區域120中的硬遮罩層800的一部分。
請參照第11A圖和第11B圖,通過使用圖案化光阻層910作為蝕刻遮罩,蝕刻硬遮罩層800以形成圖案化硬遮罩層810。然後,去除圖案化光阻層910。圖案化硬遮罩層810具有沿著第二方向D2延伸的多個第一凹槽811a於第一區域110中和沿著第一方向D1延伸的多個第二凹槽811b於第二區域120中。第一凹槽811a具有與圖案化光阻層910的第一開口911a的圖案對應或基本上相同的圖案。第二凹槽811b具有與圖案化光阻層910的第二開口911b的圖案對應或基本上相同的圖案。換言之,圖案化硬遮罩層810 具有多個凸部810a和多個凹部810b。凸部810a具有第一厚度T3。凹部810b具有小於第一厚度T3的第二厚度T4。本領域技術人員可以適當地改變第一厚度T3和第二厚度T4。在本發明的一個實施例中,通過非等向性蝕刻製程來執行對硬遮罩層800的蝕刻,例如本領域已知的乾式蝕刻製程。
請參照第12A圖和第12B圖,按順序地形成有機層720和圖案化光阻層920於圖案化硬遮罩層810上。有機層720填充第一凹槽811a和第二凹槽811b。本領域技術人員可以適當地改變有機層720和圖案化光阻層920的厚度。在本發明的一個實施例中,有機層720可以包括聚酯(polyester,PET)、聚烯烴、樹脂或其他合適的有機材料。在本發明的一個實施例中,有機層720可以通過旋轉塗佈製程來形成,並且有機層720的頂表面基本上是平坦的。圖案化光阻層920具有沿著第二方向D2延伸的多個條狀結構920a於第一區域110中和沿著第一方向D1延伸的多個塊狀結構920b於第二區域120中。條狀結構920a的寬度小於第一凹槽811a的寬度。塊狀結構920b的寬度小於第二凹槽811b的寬度。每個條狀結構920a與對應的第一凹槽811a的一部分重疊,但每個第一凹槽811a的長邊不與對應的條狀結構920重疊。換言之,凹部810b的邊緣部分不與圖案化光阻層920重疊。每個塊狀結構920b與對應的第二凹槽811b的一部分重疊,但不與對應的第二凹槽811b的長邊重疊。應當理解的是,雖然在第12A圖中所繪示的每個塊狀結構920b是長條形的塊狀結構,但是在一些實施例中,每個塊 狀結構920b可以被沿第一方向D1設置的多個子塊狀結構所替代(未繪示)。在這樣的實施例中,兩個相鄰的子塊狀結構被暴露出第二區域120中的有機層720的一部分的開口所隔開。
請參照第13A圖和第13B圖,蝕刻圖案化光阻層920和有機層720以形成圖案化有機層730。具體地,與圖案化光阻層920不重疊的有機層720的部分被去除,而與圖案化光阻層920重疊的有機層720的部分則被保留,從而形成圖案化有機層730。應當注意的是,所形成的圖案化有機層730位於凹部810b(如第12B圖所繪示)上,而凹部810b的邊緣部分被暴露出來。接著,通過使用圖案化有機層730作為蝕刻遮罩,蝕刻圖案化硬遮罩層810以形成圖案化硬遮罩層820(如第13B圖所繪示)。如上所述,圖案化硬遮罩層810具有凸部810a和凹部810b,且凸部810a的第一厚度T3大於凹部810b的第二厚度T4。因此,在凹部810b的暴露的邊緣部分被蝕刻時,形成多個第一開口821a於第一區域110中,並形成多個第二開口821b於第二區域120中。同時,凸部810a的較低部分仍被保留,從而構成圖案化硬遮罩層820。在一些實例中,圖案化硬遮罩層820具有彼此隔開的多個條狀結構820a和彼此隔開的多個塊狀結構820b。具體地,兩個相鄰的條狀結構820a被第一區域110中的至少一個第一開口821a所隔開。類似地,兩個相鄰的塊狀結構820b被第二區域120中的至少一個第二開口821b所隔開。在本發明的一個實施例中,通過非等向性蝕刻製程 來執行對圖案化光阻層920、有機層720、以及圖案化硬遮罩層810的蝕刻,例如本領域中已知的乾式蝕刻製程。
請參照第14A圖和第14B圖,通過使用圖案化硬遮罩層820作為蝕刻遮罩,刻蝕有機層730、有機層710、以及第一圖案化目標層210以形成圖案化有機層710'和第二圖案化目標層220。圖案化有機層710'具有多個第一開口710a和多個第二開口710b,而第二圖案化目標層220具有多個第三開口221。應當注意的是,第三開口221與第一開口710a及第二開口710b連通,且對應圖案化硬遮罩層820的第一開口821a及第二開口821b。在本發明的一個實施例中,通過非等向性蝕刻製程來執行對圖案化有機層730、有機層710、以及第一圖案化目標層210的蝕刻,例如本領域中已知的乾式蝕刻製程。因此,第二圖案化目標層220被圖案化有機層710'和圖案化硬遮罩層820所覆蓋。
請參照第15A圖和第15B圖,去除圖案化硬遮罩層820和圖案化有機層710'以暴露出第二圖案化目標層220。如圖所示,第二圖案化目標層220具有開口211和第三開口221。所述開口211沿著第一方向D1延伸,而位於第一區域110中的第三開口221則沿著第二方向D2延伸。在一些實例中,第三開口221的寬度小於開口211的寬度。應當理解的是,第一方向D1與第二方向D2之間的夾角大於零度,使得從頂部觀看時,第一區域110中的第二圖案化目標層220包括多個第一幾何形狀220a。在本發明的一個實施例中,第一區域110中的第二圖案化目標層220的第一幾何形 狀220a為菱形。另外,如圖所示,第二區域120中的第三開口221沿著第一方向D1延伸。因此,從頂部觀看時,第二區域120中的第二圖案化目標層220包括多個第二幾何形狀220b。在本發明的一個實施例中,第二區域120中的第二圖案化目標層220的第二幾何形狀220b為細長矩形。在本發明的一個實施例中,通過任何合適的蝕刻製程來執行對圖案化硬遮罩層820和圖案化有機層710'的蝕刻,例如本領域已知的乾式蝕刻製程或濕式蝕刻製程。
第16A圖為根據本發明的其他實施例之經圖案化的目標層220的俯視示意圖。第16B圖為沿著第16A圖中的線B-B'和線C-C'截取的剖面示意圖。第16A圖和第16B圖繪示出與第15A圖和第15B圖類似的結構,差異在於第16A圖和第16B圖中的結構之第一方向D1和第二方向D2之間的夾角是90°。如上所述,從頂部觀看時,第一區域110中的第二圖案化目標層220包括多個第一幾何形狀220a。在第16A圖和第16B圖的實施例中,第一區域110中的第二圖案化目標層220的第一幾何形狀220a為矩形。
本發明的圖案化方法能同時於目標層的第一區域和第二區域中形成期望的圖案,從而避免分別於不同製程中形成第一區域的圖案和第二區域的圖案之複雜製程。另外,本發明的圖案化方法結合雙重圖案化技術,因此具有成本效益之本發明的圖案化方法能形成精細的圖案。
上文概述若干實施例之特徵,使得熟習此項技術者可更好地理解本揭露之態樣。熟習此項技術者應瞭解, 可輕易使用本揭露作為設計或修改其他製程及結構的基礎,以便實施本文所介紹之實施例的相同目的及/或實現相同優勢。熟習此項技術者亦應認識到,此類等效結構並未脫離本揭露之精神及範疇,且可在不脫離本揭露之精神及範疇的情況下產生本文的各種變化、替代及更改。
110‧‧‧第一區域
120‧‧‧第二區域
211‧‧‧開口
220a、220b‧‧‧幾何形狀
221‧‧‧開口
D1‧‧‧第一方向
D2‧‧‧第二方向

Claims (11)

  1. 一種製造半導體裝置的方法,包括以下操作:形成一第一圖案化目標層於具有一第一區域和一第二區域之一基板上,其中該第一圖案化目標層具有沿著一第一方向延伸之複數個第一開口於該第一區域中,且該些第一開口暴露出該基板的一部分;形成一圖案化硬遮罩層於該第一圖案化目標層之上,其中該圖案化硬遮罩層具有沿著該第二方向延伸之複數個第一凹槽於第一區域中和沿著該第一方向延伸之複數個第二凹槽於第二區域中;形成一圖案化光阻層於該圖案化硬遮罩層之上,其中該圖案化光阻層具有沿著該第二方向延伸之複數個條狀結構於該第一區域中和沿著該第一方向延伸之複數個塊狀結構於該第二區域中;以及通過使用該圖案化硬遮罩層和該圖案化光阻層作為蝕刻遮罩,蝕刻該圖案化光阻層、該圖案化硬遮罩層、以及該第一圖案化目標層以形成一第二圖案化目標層。
  2. 如申請專利範圍第1項之方法,其中各該條狀結構的一寬度小於各該第一凹槽的一寬度,各該塊狀結構的一寬度小於各該第二凹槽的一寬度,各該條狀結構與該些第一凹槽中對應的一個第一凹槽的一部分重疊,且各該塊狀結構與該些第二凹槽中對應的一個第二凹槽的一部分重疊。
  3. 如申請專利範圍第1項之方法,其中該第一區域中的該第二圖案化目標層的一俯視輪廓的複數個幾何形狀為菱形。
  4. 如申請專利範圍第1項之方法,其中該第一區域中的該第二圖案化目標層的一俯視輪廓的複數個幾何形狀為矩形。
  5. 如申請專利範圍第1項之方法,其中形成該第一圖案化目標層於該基板上的操作進一步包括以下操作:按順序地形成一目標層、一下硬遮罩層、以及一第一上硬遮罩層於該基板上;圖案化該第一上硬遮罩層以形成一圖案化第一上硬遮罩層於該下硬遮罩層上,其中該圖案化第一上硬遮罩層具有暴露出該下硬遮罩層的部分的複數個第二開口;共形地形成一間隔層於該圖案化第一上硬遮罩層的上表面和側壁上以及該下硬遮罩層的該暴露部分的上表面上;蝕刻該間隔層以形成一圖案化間隔層,其中該圖案化間隔層包括位於該圖案化第一上硬遮罩層的該側壁上的複數個間隔物,且該相鄰的兩個間隔物的側壁彼此被該第一區域中的一第三開口所隔開; 使用與該圖案化第一上硬遮罩層相同的一材料填充該第三開口以形成一第二上硬遮罩層,其中該圖案化間隔層的該些間隔物的頂表面暴露於該第二上硬遮罩層外;通過使用該第二上硬遮罩層作為一蝕刻遮罩,蝕刻該圖案化間隔層的該些間隔物和該下硬遮罩層以形成一圖案化下硬遮罩層;以及蝕刻該第二上硬遮罩層、該圖案化下硬遮罩層、以及該目標層以形成該第一圖案化目標層。
  6. 如申請專利範圍第5項之方法,其中蝕刻該間隔層、蝕刻該圖案化間隔層的該些間隔物和該下硬遮罩層、以及蝕刻該第二上硬遮罩層、該圖案化下硬遮罩層、以及該目標層之該些操作是通過非等向性蝕刻製程來執行。
  7. 如申請專利範圍第5項之方法,其中該下硬遮罩層具有由不同材料所製成的複數個層。
  8. 如申請專利範圍第5項之方法,其中該間隔層和該第一上硬遮罩層是由不同的材料所製成。
  9. 如申請專利範圍第8項之方法,其中該間隔層和該第一上硬遮罩層包括矽、氮化矽、矽碳氮化物或氧化矽。
  10. 如申請專利範圍第1項之方法,其中蝕刻該圖案化光阻層、該圖案化硬遮罩層、以及該第一圖案化目標層之該操作是通過非等向性蝕刻製程來執行。
  11. 如申請專利範圍第1項之方法,其中該圖案化硬遮罩層具有由不同材料所製成的複數個層。
TW107113078A 2018-01-08 2018-04-17 製造半導體裝置的方法 TWI687974B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/865,227 US10181401B1 (en) 2018-01-08 2018-01-08 Method for manufacturing a semiconductor device
US15/865,227 2018-01-08

Publications (2)

Publication Number Publication Date
TW201931437A TW201931437A (zh) 2019-08-01
TWI687974B true TWI687974B (zh) 2020-03-11

Family

ID=64953666

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107113078A TWI687974B (zh) 2018-01-08 2018-04-17 製造半導體裝置的方法

Country Status (3)

Country Link
US (1) US10181401B1 (zh)
CN (1) CN110021519B (zh)
TW (1) TWI687974B (zh)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10395976B1 (en) * 2018-05-13 2019-08-27 Nanya Technology Corporation Method of manufacturing semiconductor device
US10658179B2 (en) * 2018-08-17 2020-05-19 Taiwan Semiconductor Manufacturing Co., Ltd. Method and structure of middle layer removal
US11502041B2 (en) * 2020-04-22 2022-11-15 Nanya Technology Corporation Method of forming a pattern
US11373992B2 (en) 2020-08-24 2022-06-28 Nanya Technology Corporation Semiconductor structure with strengthened patterns and method for fabricating the same
CN114496926A (zh) * 2020-10-23 2022-05-13 长鑫存储技术有限公司 半导体结构制作方法及半导体结构

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102082081A (zh) * 2009-11-26 2011-06-01 海力士半导体有限公司 形成半导体器件的精细图案的方法
US20110248382A1 (en) * 2008-12-30 2011-10-13 Fabio Pellizzer Double patterning method for creating a regular array of pillars with dual shallow trench isolation

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100771891B1 (ko) * 2006-11-10 2007-11-01 삼성전자주식회사 더블 패터닝 공정을 이용하는 반도체 소자의 미세 패턴형성 방법
KR100942075B1 (ko) * 2007-12-27 2010-02-12 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성 방법
KR101166799B1 (ko) * 2009-12-29 2012-07-26 에스케이하이닉스 주식회사 홀 패턴 제조 방법
US9054156B2 (en) * 2012-07-30 2015-06-09 International Business Machines Corporation Non-lithographic hole pattern formation
US8765612B2 (en) * 2012-09-14 2014-07-01 Nanya Technology Corporation Double patterning process
CN103681281B (zh) * 2012-09-26 2016-08-10 中芯国际集成电路制造(上海)有限公司 双重图形化膜层的方法
US9418868B1 (en) * 2015-03-13 2016-08-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating semiconductor device with reduced trench distortions

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110248382A1 (en) * 2008-12-30 2011-10-13 Fabio Pellizzer Double patterning method for creating a regular array of pillars with dual shallow trench isolation
CN102082081A (zh) * 2009-11-26 2011-06-01 海力士半导体有限公司 形成半导体器件的精细图案的方法

Also Published As

Publication number Publication date
US10181401B1 (en) 2019-01-15
TW201931437A (zh) 2019-08-01
CN110021519A (zh) 2019-07-16
CN110021519B (zh) 2021-02-05

Similar Documents

Publication Publication Date Title
TWI687974B (zh) 製造半導體裝置的方法
CN108122738B (zh) 半导体方法和器件
KR100640640B1 (ko) 미세 피치의 하드마스크를 이용한 반도체 소자의 미세 패턴형성 방법
TWI423388B (zh) 利用雙重圖樣化製造方法形成細緻圖案之方法
US9224617B2 (en) Forming cross-coupled line segments
TWI665784B (zh) 半導體裝置及其製造方法
US10395976B1 (en) Method of manufacturing semiconductor device
US7462917B2 (en) Semiconductor device and method of fabricating the same
KR101881594B1 (ko) 측벽 이미지 트랜스퍼로부터 패턴을 제조하기 위한 향상된 방법
US20120171867A1 (en) Method for fabricating fine pattern by using spacer patterning technology
US10529570B1 (en) Method for preparing a semiconductor structure
US11037788B2 (en) Integration of device regions
US11335568B2 (en) Method for forming semiconductor structure
US10950456B2 (en) High-density semiconductor device
CN109979814B (zh) 用于限定从基底突出的鳍的长度的方法
KR100940275B1 (ko) 반도체 소자의 게이트 패턴 형성방법
US8329522B2 (en) Method for fabricating semiconductor device
US20240243003A1 (en) Method for patterning active areas in semiconductor structure
US8716139B2 (en) Method of patterning a semiconductor device
CN113496877B (zh) 半导体结构的形成方法
US20240147717A1 (en) Pick-up structure of memory device and method for manufacturing memory device
US8211806B2 (en) Method of fabricating integrated circuit with small pitch
CN111668091B (zh) 半导体器件及其形成方法
KR20080018416A (ko) 반도체 장치 제조 방법