TWI677014B - 處理腔室 - Google Patents

處理腔室 Download PDF

Info

Publication number
TWI677014B
TWI677014B TW105116032A TW105116032A TWI677014B TW I677014 B TWI677014 B TW I677014B TW 105116032 A TW105116032 A TW 105116032A TW 105116032 A TW105116032 A TW 105116032A TW I677014 B TWI677014 B TW I677014B
Authority
TW
Taiwan
Prior art keywords
processing chamber
plasma
gases
substrate
processing
Prior art date
Application number
TW105116032A
Other languages
English (en)
Other versions
TW201711094A (zh
Inventor
煒 劉
Wei Liu
泰瑞莎克拉莫 瓜立尼
Theresa Kramer Guarini
惠Q 阮
Huy Q. Nguyen
麥爾肯 畢凡
Malcolm BEVAN
候達 葛勞伊
Houda Graoui
菲利浦A 伯提妮
Philip A. Bottini
柏納L 黃
Bernard L. Hwang
拉拉 華瑞恰克
Lara Hawrylchak
雷尼 喬治
Rene George
Original Assignee
美商應用材料股份有限公司
Applied Materials, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司, Applied Materials, Inc. filed Critical 美商應用材料股份有限公司
Publication of TW201711094A publication Critical patent/TW201711094A/zh
Application granted granted Critical
Publication of TWI677014B publication Critical patent/TWI677014B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28176Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation with a treatment, e.g. annealing, after the formation of the definitive gate conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate

Abstract

本發明揭露的實施例一般係關於用於電漿處理一處理腔室之方法和設備。基板可放置在處理腔室中,該基板具有形成於其上的閘極堆疊,且含氫電漿可用於處理閘極堆疊,以消除閘極堆疊中的缺陷(defect)。作為含氫電漿處理的結果,閘極堆疊具有較低的洩漏且改善了可靠度。為了保護處理腔室免受含氫電漿的產生的HX +離子與H*自由基,可在沒有基板置放於處理腔室中的情況下且在含氫電漿處理之前,以電漿處理處理腔室。此外,介電材料製成的處理腔室的元件可用陶瓷塗層塗覆,該陶瓷塗層包括含釔氧化物以保護這些元件免受電漿。

Description

處理腔室
本發明所述實施例一般係關於用於處理半導體基板的方法與設備,更具體言之,係關於用於電漿處理一處理腔室之方法和設備。
積體電路(IC)是由許多(如數百萬個)元件組成,如電晶體、電容器和電阻器。電晶體(如場效應電晶體(FET))通常包括源極、汲極和閘極堆疊。閘極堆疊通常包括基板(如矽基板)、閘電介質和在閘電介質上的閘極電極(如多晶矽)。閘極介電層由介電材料製成,如二氧化矽(SiO2 )或具有大於4.0的介電常數之高k介電材料,如SiON、SiN、氧化鉿(HfO2 )、矽酸鉿(HfSiO2 )、氮氧化矽鉿(HfSiON)、氧化鋯(ZrO2 )、矽酸鋯(ZrSiO2 )、鈦酸鍶鋇(BaSrTiO3 或BST)、鋯鈦酸鉛(Pb(ZrTi)O3 或PZT)、氮化鈦(TiN)或類似物。在一些情況下,閘電介質包括介電層的堆疊,如設置在矽基板上的SiO2 /HfO2 /TiN堆疊。然而,應當注意的是,薄膜堆疊可包括其他材料形成的層。
缺陷(如原子空位)在介電層中係常見的,例如,HfO2 層中的O空位。如介面缺陷(如懸掛鍵)的其他缺陷等缺陷在各種介面(interface,如TiN/ HfO2 或HfO2 / SiO2 )中亦係常見的。原子空位和介面缺陷可能導致洩漏、熱不穩定性、流動不穩定性及暫態閾值電壓不穩定性。因此,需要一種改良的設備和方法。
本發明揭露的實施例一般係關於用於電漿處理一處理腔室之方法和設備。在一個實施例中, 一種方法,包括以下步驟:以含有氮或氧的電漿來電漿處理一處理腔室,放置基板到處理腔室中,一堆疊設置在該基板上,及電漿處理設置在該基板上的該堆疊。
在另一個實施例中,一種方法,包括以下步驟:引入第一一或多個氣體至處理腔室中,將該第一一或多個氣體激發成第一電漿,放置基板到處理腔室中,一堆疊設置在該基板上,引入第二一或多個氣體至處理腔室中,及將該第二一或多個氣體激發成第二電漿。
在另一個實施例中,一種方法包括引入第一一或多個氣體至處理腔室中,及該一或多個氣體包括O2 、N2 、NH3 、Ar、H2 或以上各者之組合。該方法進一步包括以下步驟:將該第一一或多個氣體激發成第一電漿,放置基板到處理腔室中,一堆疊設置在該基板上,引入第二一或多個氣體至處理腔室中,及第二一或多個氣體包含H2 。該方法進一步包括將該第二一或多個氣體激發成第二電漿。
本發明揭露的實施例一般係關於用於電漿處理一處理腔室之方法和設備。基板可放置在處理腔室中,該基板具有形成於其上的閘極堆疊,且含氫電漿可用於處理閘極堆疊,以消除(cure)閘極堆疊中的缺陷。作為含氫電漿處理的結果,閘極堆疊具有較低的洩漏且改善了可靠度。為了保護處理腔室免受含氫電漿的產生的HX +離子與H*自由基,可在沒有基板放置於處理腔室中的情況下且在含氫電漿處理之前,以電漿處理該處理腔室。此外,介電材料製成的處理腔室的元件可用陶瓷塗層塗覆,該陶瓷塗層包括含釔氧化物以保護這些元件免受電漿。
圖1是根據本發明所述的實施例之處理腔室100的概要截面圖。處理腔室100可以是任何合適的電漿處理腔室,例如電感耦合電漿(ICP)處理腔室。如圖1所示,處理腔室100可包括腔室壁106、腔室蓋件108和設置在腔室壁106內的基板支撐基座104。腔室壁106通常耦接至電接地116。腔室蓋件108可由任何合適的電介質構成,如石英。對於一些實施例中,介電蓋108可採用不同的形狀(如圓頂形)。腔室蓋件108可用陶瓷塗層(如含釔氧化物)塗覆,以保護腔室蓋件108免受H2電漿。在一個實施例中,陶瓷塗層是由化合物Y4 Al2 O9 和固體溶液Y2-x Zrx O3 (Y2 O3 -ZrO2 固體溶液)組成的高性能材料(HPM)。在一個實施例中,HPM陶瓷含有77%的Y2 O3 、15%的ZrO2 和8%的Al2 O3 。在另一個實施例中,HPM陶瓷含有63%的Y2 O3 、23%的ZrO2 及14%的Al2 O3 。在又另一個實施例中,HPM陶瓷含有55%的Y2 O3 、20%的ZrO2 和25%的Al2 O3 。相對百分比可以是摩爾比例。例如,HPM陶瓷可含有77mol%的Y2 O3 、15mol%的ZrO2 和8mol%的Al2 O3 。這些陶瓷粉末的其他分配亦可用於HPM材料。陶瓷塗層可具有範圍從約100微米至約300微米的厚度,如約200微米。
包括至少一個感應線圈元件110(所示有兩個同軸線圈元件)的射頻(RF)天線可設置在腔室蓋件108的上方。在一些實施例中,感應線圈元件110可繞腔室壁106的至少一部分設置。感應線圈元件110的一端可藉由第一阻抗匹配網路而耦接至RF電源114,及另一端可如圖所示而連接至電接地117。電源114通常能夠產生2至160 MHz範圍的可調諧頻率之高達10千瓦(kW),其常用工作頻率為13.56MHz。供應到感應線圈元件110的RF功率可以是1至100kHz頻率範圍之脈衝的(pulsed)(即在開啟與關閉狀態間切換)或功率循環的(即功率輸入從高位準變化到低位準)功率。
屏蔽電極118可插入於RF天線的感應線圈元件110和腔室蓋件108之間。屏蔽電極118可以是交替電浮動或經由用於接通和斷開電連接用於之任何合適的構件(如圖1所示的開關120)而電耦接至電接地119。
對於一些實施例,檢測器122可經努力而附接至腔室壁106確定腔室100內的氣體混合物何時已經被激發成電漿。例如,檢測器122可檢測激發的氣體發射的輻射或使用光學發射光譜(OES)來測量與產生的電漿相關的光之一或多個波長的強度。
基座104可藉由第二阻抗匹配網路124而耦接至偏壓電源126。偏壓電源126一般能夠產生具有範圍2至160MHz的可調諧頻率及0至10kW功率的RF訊號,其類似於RF電源114的RF訊號。選擇性地,偏壓電源126可以是直流(DC)或脈衝DC源。
在操作中,基板128(如半導體基板)也可放置在基座104上,及處理氣體可經努力而自氣體分配板130通過入口埠132供應以形成氣態混合物134。入口埠132可用陶瓷塗層塗覆,如HPM。氣態混合物134可藉由施加來自RF電源114的功率而被激發成處理腔室100中的電漿136。可使用節流閥138和真空泵140來控制處理腔室100內部中的壓力。在一些實施例中,可使用穿過腔室壁106之含液體的導管(未示出)或嵌入在腔室壁106中的加熱元件(如加熱匣(cartridge)或線圈)或纏繞處理腔室100的加熱元件(如加熱器繞包(wrap)或帶)來控制腔室壁106的溫度。
可藉由穩定基座104的溫度來控制基板128的溫度。在一些實施例中,來自氣源142的氦(He)氣體可經由氣體導管144而提供至基板128下的基座表面中形成的通道(未示出)。氦氣可利於基座104和基板128之間的熱傳遞。在處理期間,可藉由嵌入基座104中的加熱元件(未示出,如電阻加熱器)或一般存在於基座104或基座104上的基板128處的燈來加熱基座104到一穩定狀態,且接著氦氣可利於基板128的均勻加熱。使用這種熱控制,基板128可保持在約20至350攝氏度(℃)之間的溫度。
為了允許控制本說明書所述的處理腔室100之元件,可提供控制器146。控制器146可包括中央處理器(CPU)148、記憶體150和用於CPU 148的支援電路152。控制器146可連接RF電源114、開關120、檢測器122和偏壓電源126。
控制器146可係可以在工業裝置中用於控制各式腔室與副處理器的任意形式之通用電腦處理器。用於CPU 148的記憶體150或電腦可讀取媒體可係一或多個容易取得之記憶體形式,如隨機存取記憶體(RAM)、唯讀記憶體(ROM)、軟碟、硬碟或任何其他的數位儲存格式,本地端的或是遠端的。支援電路152可經努力與CPU 148耦接而用傳統方式支援處理器。這些電路包括快取、電源、時脈電路、輸入/輸出電路與子系統以及類似物。對於一些實施例,本發明揭露用於激發及維持電漿的技術可作為軟體子程式(routine)而儲存於記憶體150中。軟體子程式亦可由第二CPU(未示出)儲存與(或)執行,第二CPU位於CPU 148控制的硬體之遠端。
圖2圖示根據本發明所述實施例之用於電漿處理放置於處理腔室100中的基板之處理過程200。本說明書所用的電漿處理是指暴露材料而藉由電漿處理。電漿可以電容耦合或電感耦合。可在原位(in-situ)形成電漿或可在遠端形成電漿。在方塊202,在基板未放置於處理腔室中且在基板用H2 電漿處理之前的情況下,用電漿處理該處理腔室(如處理腔室100(圖1))。在引入基板至腔室中之前的處理腔室之電漿處理可被稱為電漿每個晶圓(Plasma Every Wafer,PEW)。處理腔室的電漿處理或PEW可以包括引入一或多個氣體(如O2 、N2 、NH3 、Ar、H2 或以上各者之組合)至處理腔室中,及將該一個或多個氣體激發以形成電漿。或者,PEW可包括將含有自由基和(或)氧、氮、氫、氨、氫氧化物或以上各者之組合的離子之電漿引入處理腔室中,及電漿形成於處理腔室外面的遠端電漿源中。在一個實施例中,NH3 和Ar的氣體被引入處理腔室中。在另一個實施例中,O2 和H2 氣體被引入處理腔室中。在另一個實施例中,O2 和Ar的氣體被引入處理腔室中。在另一個實施例中,O2 氣體被引入處理腔室中。在又另一個實施例中,N2 氣體被引入處理腔室中。在引入基板之前的處理腔室之電漿處理通常包含引入或形成含氧或氮的電漿於處理腔室中。
在一些實施例中,一或多個氣體被RF電源(如RF電源114(圖1))激發。RF功率可在2%至70%的工作周期脈衝且可在約100W至約2500 W的範圍。RF功率可以是約100W至約2500W範圍的連續波。在處理腔室的電漿處理期間,處理腔室可具有約10mT至約200mT範圍的腔室壓力。處理溫度(可能是基板支撐基座(如基座104)的溫度)可以是在約20℃至約500℃的範圍。
處理腔室內部電漿產生的自由基(如O* 、OH* 或NH* )可以鍵接該處理腔室的元件之表面(其易受含氫電漿侵蝕(attack)),並形成抵抗含氫電漿侵蝕的受保護表面鍵結。例如,處理腔室內部的腔室襯墊可由經陽極處理的Al2 O3 製成,且具有不飽和鍵結的部分Al原子當暴露於含氫電漿產生的H* 自由基與H3 + 時,可形成AlHx 。AlHx 可自腔室襯墊的表面被蝕刻掉,且可能成為基板上的污染物。O* 、OH* 或NH* 自由基可藉由與暴露的Al原子鍵接而修復表面,且新形成的鍵結抵抗含氫電漿之侵蝕。同樣地,由石英製成之處理腔室內部的元件易受含氫電漿侵蝕,並可能形成污染物(如SiOx )在基板上。石英元件亦可藉由如陽極處理Al2 O3 元件相同的方式而被O* 、OH* 或NH* 自由基保護。
圖3A至3D是圖示在不同壓力與RF功率設定下H2與O2氣體混合物中H2百分比導致有不同自由基密度之圖表。自由基的濃度可能取決於RF功率,使得相較於較低的RF功率(500W),較高的RF功率(2000W)產生有較高的自由基濃度。自由基的濃度亦可能取決於壓力,使得相較於較高的壓力(100mT),較低的壓力(20mT)導致有較高的自由基濃度。當一或多個氣體是H2和O2時,H2的體積百分比可為約10%至約20%的範圍,且可能導致各種自由基的密度不同。
在用電漿處理該處理腔室之後,基板128(圖1)被放置在處理腔室的內部,如方塊204所示。基板可包括在其上形成的閘極堆疊。在方塊206,基板和閘極堆疊可由含氫電漿處理,以消除閘極堆疊中的缺陷。含氫電漿處理基板可包括引入含氫氣體(如H2氣體或含氫氣體及惰性氣體,如Ar氣體)至處理腔室中,及將H2氣體或H2/Ar氣體激發以形成含氫電漿。Ar氣可被加到H2氣體以提高處理腔室使用壽命(防止含氫電漿侵蝕處理腔室內部的元件)及調製H*自由基的濃度。在一些實施例中,H2氣體或H2/Ar氣體由RF電源激發時,如RF電源114(圖1)。RF功率可在2%至60%的工作周期脈衝且可在約100W至約2500W的範圍。RF功率可以是約100W至約2500W範圍的連續波。在基板的含氫電漿處理期間,處理腔室可具有約10mT至約200mT範圍的腔室壓力。處理溫度(可能是基板支撐基座(如基座104)的溫度)可以是在約20℃至約500℃的範圍。基板可由含氫電漿處理約10至360秒。在一個實施例中,腔室壓力為約100 mT,H2 氣體以約每分鐘25標準立方厘米(sccm)的流速流入處理腔室,且Ar氣體以約975 sccm流入,RF功率為約500W,處理溫度為約400℃,且基板由含氫電漿處理約30至90秒。在基板用含氫電漿處理之後,基板可從處理腔室移除,且在放置另一基板到處理腔室之前可在處理腔室施行PEW。換句話說,可重複方塊202至206。
圖4A至4B是圖示根據本發明所述實施例RF功率與壓力對於自由基濃度的影響之圖表。自由基H* 的濃度可能取決於RF功率,使得相較於較低的RF功率(500W),較高的RF功率(2000W)產生有較高的自由基濃度。自由基的濃度亦可能取決於壓力,使得在較高的壓力(200mT)下,H* 自由基的重組居支配(dominate)位置。在約60mT,H* 自由基的濃度是最高的。
圖5A至5B是圖示根據本發明所述實施例在不同壓力與RF功率設定下H2 與Ar氣體混合物中H2 百分比導致有不同的自由基密度之圖表。如圖5A和5B所示,在低壓下,H* 濃度隨著H2 /Ar氣體混合物中較高的H2 百分比而增加,在高壓下,H* 濃度隨著H2 /Ar氣體混合物中較高的H2 百分比而減少。
圖6A至6D是圖示根據本發明所述實施例在不同壓力與RF功率設定下H2 與Ar氣體混合物中H2 百分比導致有不同的自由基密度之圖表。如圖6A-6D所示,當RF功率增加時,H* 濃度增加。此外,在較低的壓力(20mT)下,H* 濃度隨著H2 /Ar氣體混合物中較高的H2 氣體體積百分比而增加。然而,在較高的壓力(100mT)下,H* 濃度隨著H2 /Ar氣體混合物中較低的H2 氣體體積百分比而增加。
雖然前面該係針對本發明揭露的實施例,但在不背離本發明基本範圍及以下專利申請範圍所界定之範圍下,可設計本發明揭露的其他與進一步的實施例。
100‧‧‧腔室
104‧‧‧基座
106‧‧‧腔室壁
108‧‧‧腔室蓋件
110‧‧‧感應線圈元件
112‧‧‧第一阻抗匹配網路
114‧‧‧RF電源
116‧‧‧電接地
117‧‧‧電接地
118‧‧‧屏蔽電極
119‧‧‧電接地
120‧‧‧開關
122‧‧‧檢測器
124‧‧‧第二阻抗匹配網路
126‧‧‧偏壓電源
128‧‧‧基板
130‧‧‧氣體分配板
132‧‧‧入口埠
134‧‧‧氣體混合物
136‧‧‧電漿
138‧‧‧節流閥
140‧‧‧真空埠
142‧‧‧氣源
144‧‧‧氣體導管
146‧‧‧控制器
148‧‧‧CPU
150‧‧‧記憶體
152‧‧‧支援電路
200‧‧‧處理過程
202‧‧‧方塊
204‧‧‧方塊
206‧‧‧方塊
本發明揭露之特徵已簡要概述於前,並在以下有更詳盡之討論,可以藉由參考所附圖式中繪示之本發明實施例以作瞭解。然而,值得注意的是,所附圖式只繪示了本發明揭露的典型實施例,而由於本發明可允許其他等效之實施例,因此所附圖式並不會視為本發明範圍之限制。
圖1是根據本發明所述的實施例之處理腔室的概要截面圖。
圖2圖示根據本發明所述實施例之用於電漿處理放置於圖1的處理腔室中的基板之處理過程。
圖3A至3D是圖示根據本發明所述實施例在不同壓力與RF功率設定下H2 與O2 氣體混合物中H2 百分比導致有不同的自由基密度之圖表。
圖4A至4B是圖示根據本發明所述實施例RF功率與壓力對於自由基濃度的影響之圖表。
圖5A至5B是圖示根據本發明所述實施例在不同壓力與RF功率設定下H2 與Ar氣體混合物中H2 百分比導致有不同的自由基密度之圖表。
圖6A至6D是圖示根據本發明所述實施例在不同壓力與RF功率設定下H2 與Ar氣體混合物中H2 百分比導致有不同的自由基密度之圖表。
為便於理解,在可能的情況下,使用相同的數字編號代表圖示中相同的元件。可以預期的是,一個實施例中的元件與特徵可有利地用於其它實施例中而無需贅述。
國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無
國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無
(請換頁單獨記載) 無

Claims (10)

  1. 一種用於電漿處理一處理腔室的方法,包括以下步驟:引入一第一一或多個氣體至一處理腔室中;將該第一一或多個氣體激發成一第一電漿;放置一基板到該處理腔室中,其中一堆疊設置在該基板上;引入一第二一或多個氣體至該處理腔室中;將該第二一或多個氣體激發成一第二電漿;及重複引入該第一一或多個氣體至該處理腔室中、將該第一一或多個氣體激發成一第一電漿、放置一基板到該處理腔室中、引入該第二一或多個氣體至該處理腔室中、以及將該第二一或多個氣體激發成一第二電漿之該等步驟。
  2. 如請求項1所述之方法,其中該第一一或多個氣體包括O2、N2、NH3、Ar、H2或以上各者之組合。
  3. 如請求項2所述之方法,其中該第一電漿的自由基(radical)鍵接該處理腔室的元件之表面以形成抵抗含氫電漿侵蝕(attack)的受保護表面鍵結。
  4. 如請求項1所述之方法,其中該第二一或多個氣體包括一含氫氣體。
  5. 如請求項4所述之方法,其中該第二一或多個氣體進一步包括一惰性氣體。
  6. 如請求項5所述之方法,其中該第二一或多個氣體包括Ar和H2
  7. 一種用於電漿處理一處理腔室的方法,包括以下步驟:引入一第一一或多個氣體至一處理腔室中,其中該第一一或多個氣體包括O2、N2、NH3、Ar、H2或以上各者之組合;將該第一一或多個氣體激發成一第一電漿;放置一基板到該處理腔室中,其中一堆疊設置在該基板上;引入一第二一或多個氣體到該處理腔室中,其中該第二一或多個氣體包含H2;將該第二一或多個氣體激發成一第二電漿;及重複引入該第一一或多個氣體至該處理腔室中、將該第一一或多個氣體激發成一第一電漿、放置一基板到該處理腔室中、引入該第二一或多個氣體至該處理腔室中、以及將該第二一或多個氣體激發成一第二電漿之該等步驟。
  8. 如請求項7所述之方法,其中該第一電漿的自由基鍵接該處理腔室的元件之表面以形成抵抗含氫電漿侵蝕的受保護表面鍵結。
  9. 如請求項7所述之方法,其中該第二一或多個氣體進一步包括一惰性氣體。
  10. 如請求項9所述之方法,其中該第二一或多個氣體包括Ar和H2
TW105116032A 2015-06-05 2016-05-24 處理腔室 TWI677014B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201562171921P 2015-06-05 2015-06-05
US62/171,921 2015-06-05
US201562175959P 2015-06-15 2015-06-15
US62/175,959 2015-06-15

Publications (2)

Publication Number Publication Date
TW201711094A TW201711094A (zh) 2017-03-16
TWI677014B true TWI677014B (zh) 2019-11-11

Family

ID=57442111

Family Applications (2)

Application Number Title Priority Date Filing Date
TW108133913A TWI729502B (zh) 2015-06-05 2016-05-24 用於電漿處理處理腔室之方法
TW105116032A TWI677014B (zh) 2015-06-05 2016-05-24 處理腔室

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW108133913A TWI729502B (zh) 2015-06-05 2016-05-24 用於電漿處理處理腔室之方法

Country Status (5)

Country Link
US (2) US9831091B2 (zh)
KR (2) KR102350441B1 (zh)
CN (1) CN107710386B (zh)
TW (2) TWI729502B (zh)
WO (1) WO2016195986A1 (zh)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10103027B2 (en) 2016-06-20 2018-10-16 Applied Materials, Inc. Hydrogenation and nitridization processes for modifying effective oxide thickness of a film
US10510545B2 (en) 2016-06-20 2019-12-17 Applied Materials, Inc. Hydrogenation and nitridization processes for modifying effective oxide thickness of a film
KR101932117B1 (ko) * 2017-08-11 2018-12-24 피에스케이 주식회사 기판 처리 장치, 기판 처리 방법 및 플라즈마 발생 유닛
TWI635539B (zh) * 2017-09-15 2018-09-11 金巨達國際股份有限公司 高介電常數介電層、其製造方法及執行該方法之多功能設備
KR102363121B1 (ko) * 2018-06-11 2022-02-15 매슨 테크놀로지 인크 워크피스의 처리를 위한 수소 반응성 종의 생성
US11515122B2 (en) 2019-03-19 2022-11-29 Tokyo Electron Limited System and methods for VHF plasma processing
TW202104644A (zh) * 2019-06-17 2021-02-01 美商應用材料股份有限公司 含重氫之膜
WO2021011525A1 (en) * 2019-07-18 2021-01-21 Mattson Technology, Inc. Processing of workpieces using hydrogen radicals and ozone gas
US11830725B2 (en) 2020-01-23 2023-11-28 Applied Materials, Inc. Method of cleaning a structure and method of depositing a capping layer in a structure

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050191830A1 (en) * 2000-08-11 2005-09-01 Collins Kenneth S. Plasma immersion ion implantation process
US20100239781A1 (en) * 2007-05-29 2010-09-23 Masaki Sano Method for in-chamber preprocessing in plasma nitridation processing, plasma processing method, and plasma processing apparatus
US20120061676A1 (en) * 2010-09-14 2012-03-15 Semiconductor Energy Laboratory Co., Ltd. Thin film transistor

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6060397A (en) * 1995-07-14 2000-05-09 Applied Materials, Inc. Gas chemistry for improved in-situ cleaning of residue for a CVD apparatus
JP2967745B2 (ja) * 1997-02-06 1999-10-25 日本電気株式会社 半導体装置の製造方法
KR100327277B1 (ko) * 1997-12-22 2002-04-17 히가시 데츠로 플라즈마공정용방법및시스템
US7270761B2 (en) * 2002-10-18 2007-09-18 Appleid Materials, Inc Fluorine free integrated process for etching aluminum including chamber dry clean
US7199059B2 (en) * 2004-10-26 2007-04-03 United Microelectronics Corp. Method for removing polymer as etching residue
US7226869B2 (en) * 2004-10-29 2007-06-05 Lam Research Corporation Methods for protecting silicon or silicon carbide electrode surfaces from morphological modification during plasma etch processing
US7566655B2 (en) * 2005-05-26 2009-07-28 Applied Materials, Inc. Integration process for fabricating stressed transistor structure
JP2006339253A (ja) * 2005-05-31 2006-12-14 Toshiba Corp プラズマ処理装置及びプラズマ処理方法
KR20070034811A (ko) * 2005-09-26 2007-03-29 삼성전자주식회사 기판 처리 장치 및 방법
US7605008B2 (en) 2007-04-02 2009-10-20 Applied Materials, Inc. Plasma ignition and complete faraday shielding of capacitive coupling for an inductively-coupled plasma
US9017765B2 (en) * 2008-11-12 2015-04-28 Applied Materials, Inc. Protective coatings resistant to reactive plasma processing
CN102405511B (zh) * 2009-04-20 2014-06-11 应用材料公司 使用处理腔室壁上的硅涂层增强清除残余的氟自由基的方法
US20110005922A1 (en) * 2009-07-08 2011-01-13 Mks Instruments, Inc. Methods and Apparatus for Protecting Plasma Chamber Surfaces
KR20120090996A (ko) * 2009-08-27 2012-08-17 어플라이드 머티어리얼스, 인코포레이티드 인-시튜 챔버 세정 후 프로세스 챔버의 제염 방법
TW201201937A (en) * 2010-07-07 2012-01-16 Durq Machinery Corp Material blocking device for table sawing machine
US20130017644A1 (en) * 2011-02-18 2013-01-17 Air Products And Chemicals, Inc. Fluorine Based Chamber Clean With Nitrogen Trifluoride Backup
KR101535582B1 (ko) * 2011-05-20 2015-07-09 시마쯔 코포레이션 박막 형성 장치
US8679983B2 (en) * 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
TW201324818A (zh) 2011-10-21 2013-06-16 Applied Materials Inc 製造矽異質接面太陽能電池之方法與設備
WO2013076966A1 (ja) * 2011-11-22 2013-05-30 株式会社神戸製鋼所 プラズマ発生源及びこれを備えた真空プラズマ処理装置
KR20130063871A (ko) * 2011-12-07 2013-06-17 삼성전자주식회사 자기 소자 및 그 제조 방법
JP5918574B2 (ja) * 2012-03-08 2016-05-18 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
US20130273313A1 (en) 2012-04-13 2013-10-17 Applied Materials, Inc. Ceramic coated ring and process for applying ceramic coating
US9633839B2 (en) * 2015-06-19 2017-04-25 Applied Materials, Inc. Methods for depositing dielectric films via physical vapor deposition processes

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050191830A1 (en) * 2000-08-11 2005-09-01 Collins Kenneth S. Plasma immersion ion implantation process
US20100239781A1 (en) * 2007-05-29 2010-09-23 Masaki Sano Method for in-chamber preprocessing in plasma nitridation processing, plasma processing method, and plasma processing apparatus
US20120061676A1 (en) * 2010-09-14 2012-03-15 Semiconductor Energy Laboratory Co., Ltd. Thin film transistor

Also Published As

Publication number Publication date
KR102608048B1 (ko) 2023-11-30
CN107710386B (zh) 2021-12-21
US20180082847A1 (en) 2018-03-22
US20160358781A1 (en) 2016-12-08
TWI729502B (zh) 2021-06-01
US10290504B2 (en) 2019-05-14
WO2016195986A1 (en) 2016-12-08
KR20180005740A (ko) 2018-01-16
TW202018782A (zh) 2020-05-16
TW201711094A (zh) 2017-03-16
US9831091B2 (en) 2017-11-28
CN107710386A (zh) 2018-02-16
KR102350441B1 (ko) 2022-01-14
KR20220010578A (ko) 2022-01-25

Similar Documents

Publication Publication Date Title
TWI677014B (zh) 處理腔室
US9299579B2 (en) Etching method and plasma processing apparatus
CN104425242B (zh) 半导体器件的制造方法
TW201921426A (zh) 用以改善使用電漿及/或熱處理之二氧化鉿基鐵電材料中的效能之方法
TWI611454B (zh) 電漿蝕刻方法
US9960049B2 (en) Two-step fluorine radical etch of hafnium oxide
JP5921591B2 (ja) 基板処理装置及び半導体装置の製造方法
JP2008510319A (ja) プラズマ処理を用いて高誘電率層を有するゲート誘電体積層体を改善する方法及びシステム
TW201521078A (zh) 用以在基板蝕刻過程控制基板直流偏壓、離子能量及角分布之方法及設備
KR20140105455A (ko) 플라즈마 처리 방법 및 플라즈마 처리 장치
TWI587389B (zh) 基板處理方法
TW201840248A (zh) 電漿處理方法及電漿處理裝置
KR101965154B1 (ko) 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
US10971357B2 (en) Thin film treatment process
JP2005039015A (ja) プラズマ処理方法および装置
TWI433263B (zh) 深渠溝襯層移除製程
JP2015079793A (ja) プラズマ処理方法
KR20140021610A (ko) 풀 메탈 게이트 구조를 패터닝하는 방법
JP2004095663A (ja) プラズマ処理装置およびプラズマ処理方法
US20090314635A1 (en) Plasma processing apparatus, plasma processing method, and organic electron device
US10014226B2 (en) Method of manufacturing semiconductor device
KR20200012749A (ko) 에칭 방법
TW200302694A (en) Etching method and etching device
JP2010097993A (ja) プラズマ処理方法
JP2010016127A (ja) 強誘電体膜、強誘電体膜を有する半導体装置、及びそれらの製造方法