TWI668702B - Dram的泵浦電路及泵浦電流產生方法 - Google Patents

Dram的泵浦電路及泵浦電流產生方法 Download PDF

Info

Publication number
TWI668702B
TWI668702B TW107119992A TW107119992A TWI668702B TW I668702 B TWI668702 B TW I668702B TW 107119992 A TW107119992 A TW 107119992A TW 107119992 A TW107119992 A TW 107119992A TW I668702 B TWI668702 B TW I668702B
Authority
TW
Taiwan
Prior art keywords
oscillation
dram
pump
clock signal
temperature
Prior art date
Application number
TW107119992A
Other languages
English (en)
Other versions
TW201946054A (zh
Inventor
Ting-Shuo Hsu
許庭碩
Original Assignee
Nanya Technology Corporation
南亞科技股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Nanya Technology Corporation, 南亞科技股份有限公司 filed Critical Nanya Technology Corporation
Application granted granted Critical
Publication of TWI668702B publication Critical patent/TWI668702B/zh
Publication of TW201946054A publication Critical patent/TW201946054A/zh

Links

Classifications

    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/21Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements
    • G11C11/34Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices
    • G11C11/40Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors
    • G11C11/401Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors forming cells needing refreshing or charge regeneration, i.e. dynamic cells
    • G11C11/4063Auxiliary circuits, e.g. for addressing, decoding, driving, writing, sensing or timing
    • G11C11/407Auxiliary circuits, e.g. for addressing, decoding, driving, writing, sensing or timing for memory cells of the field-effect type
    • G11C11/4074Power supply or voltage generation circuits, e.g. bias voltage generators, substrate voltage generators, back-up power, power control circuits
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/21Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements
    • G11C11/34Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices
    • G11C11/40Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors
    • G11C11/401Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors forming cells needing refreshing or charge regeneration, i.e. dynamic cells
    • G11C11/4063Auxiliary circuits, e.g. for addressing, decoding, driving, writing, sensing or timing
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/21Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements
    • G11C11/34Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices
    • G11C11/40Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors
    • G11C11/401Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors forming cells needing refreshing or charge regeneration, i.e. dynamic cells
    • G11C11/4063Auxiliary circuits, e.g. for addressing, decoding, driving, writing, sensing or timing
    • G11C11/407Auxiliary circuits, e.g. for addressing, decoding, driving, writing, sensing or timing for memory cells of the field-effect type
    • G11C11/4076Timing circuits
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C5/00Details of stores covered by group G11C11/00
    • G11C5/14Power supply arrangements, e.g. power down, chip selection or deselection, layout of wirings or power grids, or multiple supply levels
    • G11C5/145Applications of charge pumps; Boosted voltage circuits; Clamp circuits therefor
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C7/00Arrangements for writing information into, or reading information out from, a digital store
    • G11C7/04Arrangements for writing information into, or reading information out from, a digital store with means for avoiding disturbances due to temperature effects
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K3/00Circuits for generating electric pulses; Monostable, bistable or multistable circuits
    • H03K3/01Details
    • H03K3/012Modifications of generator to improve response time or to decrease power consumption
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C7/00Arrangements for writing information into, or reading information out from, a digital store
    • G11C7/22Read-write [R-W] timing or clocking circuits; Read-write [R-W] control signal generators or management 
    • G11C7/222Clock generating, synchronizing or distributing circuits within memory device

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Dram (AREA)

Abstract

本揭露提供一種泵浦電路,包括:一溫度感測模組,經配置以測量一動態隨機存取記憶體(DRAM)的溫度;一振盪模組,耦合於該溫度感測模組,並經配置以根據該DRAM的溫度產生一時脈訊號;以及一泵浦模組,耦合於該振盪模組,並經配置以產生一泵浦電流以驅動該DRAM,其中該泵浦電流是根據該時脈訊號的一振盪頻率而產生。當該DRAM的溫度變化時,該時脈訊號的該振盪頻率根據該DRAM的溫度相應地變化,該泵浦電流根據該時脈訊號的該振盪頻率相應地變化。

Description

DRAM的泵浦電路及泵浦電流產生方法
本申請案主張2018/04/30申請之美國正式申請案第15/967,069號的優先權及益處,該美國正式申請案之內容以全文引用之方式併入本文中。
本揭露係關於一種電路、一種動態隨機存取記憶體(dynamic random access memory,DRAM)以及一種電流產生方法,特別是關於一種泵浦電路、一種DRAM以及一種泵浦電流產生方法。
傳統的DRAM包括泵浦電路,該泵浦電路包括泵浦模組,該泵浦模組經配置以在DRAM的供應電壓小於參考電壓時產生DRAM的泵浦電壓。泵浦電路還包括一個振盪模組,經配置以將時脈訊號發送到泵浦模組,藉以啟動泵浦模組的泵浦程序。
通常,在傳統的DRAM中,時脈訊號的振盪頻率與振盪模組的振盪頻率相同,並且與泵浦模組產生的泵浦電流具有正相關性。當傳統的DRAM的溫度降低時,傳統DRAM的更新頻率不需要保持在高頻,因此泵浦電流應該降低。然而,由於時脈訊號的振盪頻率是固定的,所以當傳統的DRAM的溫度降低時,泵浦電流並不會降低。如此,產生了功耗問題。
上文之「先前技術」說明僅係提供背景技術,並未承認上文之「先前技術」說明揭示本揭露之標的,不構成本揭露之先前技術,且上文之「先前技術」之任何說明均不應作為本案之任一部分。
本揭露提供一種泵浦電路,該泵浦電路包括:一溫度感測模組,經配置以測量一動態隨機存取記憶體(DRAM)的溫度;一振盪模組,耦合於該溫度感測模組,並經配置以根據該DRAM的溫度產生一時脈訊號;以及一泵浦模組,耦合於該振盪模組,並經配置以產生一泵浦電流以驅動該DRAM,其中該泵浦電流是根據該時脈訊號的一振盪頻率而產生。當該DRAM的溫度變化時,該時脈訊號的該振盪頻率根據該DRAM的溫度相應地變化,該泵浦電流根據該時脈訊號的該振盪頻率相應地變化。
在本揭露實施例中,該振盪模組包括:一第一振盪通道、一第二振盪通道和一第三振盪通道。該第一振盪通道耦合於該溫度感測模組和該泵浦模組之間,並經配置以產生一第一振盪頻率。該第二振盪通道並聯耦合於該第一振盪通道,並經配置以產生一第二振盪頻率。該第三振盪通道並聯耦合於該第一振盪通道和該第二振盪通道,並經配置以產生一第三振盪頻率。在本揭露實施例中,該第一振盪頻率小於該第二振盪頻率,該第二振盪頻率小於該第三振盪頻率。
在本揭露實施例中,該第一振盪通道具有一第一電阻和一第一電容,該第二振盪通道具有一第二電阻和一第二電容,該第三振盪通道具有一第三電阻和一第三電容。在本揭露實施例中,該第一電阻大於該第二電阻,該第二電阻大於該第三電阻。在本揭露實施例中,該第一電容大於該第二電容,該第二電容大於該第三電容。
在本揭露實施例中,該振盪模組包括一振盪通道,耦合於該溫度感測模組和該泵浦模組之間。在本揭露實施例中,該振盪通道具有一可變電阻和一可變電容。
本揭露提供一種DRAM。該DRAM包含一記憶體陣列;複數個字元線,設置於該記憶體陣列中;以及一泵浦電路,耦合於該複數個字元線並經配置以提供一泵浦電流至該複數個字元線。該泵浦電路包括:一溫度感測模組、一振盪模組和一泵浦模組。該溫度感測模組經配置以測量一DRAM的溫度。該振盪模組耦合於該溫度感測模組,並經配置以根據該DRAM的溫度而產生一時脈訊號。該泵浦模組耦合於該振盪模組,經配置以產生一泵浦電壓和一泵浦電流,以驅動該DRAM,其中該泵浦電流是基於該時脈訊號的一振盪頻率而產生。在本揭露實施例中,當該DRAM的溫度變化時,該時脈訊號的該振盪頻率根據該DRAM的溫度相應地變化,該泵浦電流根據該時脈訊號的該振盪頻率相應地變化。
在本揭露實施例中,該振盪模組包括:一第一振盪通道、一第二振盪通道和一第三振盪通道。該第一振盪通道耦合於於該溫度感測模組和該泵浦模組之間,並經配置以產生一第一振盪頻率。該第二振盪通道並聯耦合於該第一振盪通道,並經配置以產生一第二振盪頻率。該第三振盪通道並聯耦合於該第一振盪通道和該第二振盪通道之間,並經配置以產生一第三振盪頻率。在本揭露實施例中,該第一振盪頻率小於該第二振盪頻率,該第二振盪頻率小於該第三振盪頻率。
在本揭露實施例中,該第一振盪通道具有一第一電阻和一第一電容,該第二振盪通道具有一第二電阻和一第二電容,該第三振盪通道具有一第三電阻和一第三電容。在本揭露實施例中,該第一電阻大於該第二電阻,該第二電阻大於該第三電阻。在本揭露實施例中,該第一電容大於該第二電容,該第二電容大於該第三電容。
在本揭露實施例中,該振盪模組包括一振盪通道,耦合於該溫度感測模組和該泵浦模組之間。在本揭露實施例中,該振盪通道具有一可變電阻和一可變電容。
本揭露另提供一種動態隨機存取記憶體(DRAM)之泵浦電流產生方法,包含:測量該DRAM的溫度;根據該DRAM的溫度產生一數值訊號;根據該數值訊號,產生一時脈訊號,該時脈訊號具有一振盪頻率;以及根據該時脈訊號的該振盪頻率,產生一泵浦電流。
在本揭露實施例中,當該DRAM的溫度變化時,該時脈訊號的該振盪頻率與該DRAM的溫度呈正相關變化。
在本揭露實施例中,當該DRAM的一電源電壓小於一參考電壓時,產生該泵浦電流以驅動該DRAM。
在本揭露實施例中,產生該時脈訊號係使用複數個振盪通道之一將該數值訊號轉換成該時脈訊號,其中該複數個振盪通道具有不同的電阻及電容。
在本揭露實施例中,產生該時脈訊號包含:根據該DRAM的溫度,將該數值訊號通過該複數個振盪通道之一。
在本揭露實施例中,該複數個振盪通道產生具有不同振盪頻率的時脈訊號。
在本揭露實施例中,產生該時脈訊號係使用一振盪通道將該數值訊號轉換成該時脈訊號,其中該振盪通道具有一可變電阻及一可變電容。
在本揭露實施例中,當該DRAM的溫度變化時,該振盪通道的一RC值隨之改變以產生該時脈訊號的一相應振盪頻率。
本揭露藉由上述該泵浦電路的配置,該時脈訊號的該振盪頻率可以變化,以根據該DRAM的溫度而改變該泵浦電流。因此,本揭露可以解決電力消耗問題,而傳統的泵浦電路的缺點得以解決。
前面已經相當廣泛地概述了本揭露的特徵和技術優點,以便可以更好地理解隨後的本揭露的詳細描述。本揭露的附加特徵和技術優點在下文中描述,並形成本揭露的專利申請項的主題。本領域技術人員應該理解,所揭露的概念和具體實施例可以用作修改或設計經配置以實現本揭露的目的的其他結構或過程的基礎。本領域技術人員還應該認識到,這樣的等同構造不脫離如所附專利申請項中闡述的本揭露的精神或範圍。
圖式所示之揭露內容的實施例或範例係以特定語言描述。應理解此非意圖限制本揭露的範圍。所述實施例的任何變化或修飾以及本案所述原理任何進一步應用,對於本揭露相關技藝中具有通常技術者而言為可正常發生。元件符號可重複於各實施例中,但即使它們具有相同的元件符號,實施例中的特徵並非必定經配置以另一實施例。
應理解雖然在本文中可使用第一、第二、第三等用語描述各種元件、組件、區域、層或區段,然而,這些元件、組件、區域、層或區段應不受限於這些用語。這些用語僅經配置以區分一元件、組件、區域、層或區段與另一區域、層或區段。因此,以下所述之第一元件、組件、區域、層或區段可被稱為第二元件、組件、區域、層或區段,而仍不脫離本揭露發明概念之教示內容。
本揭露所使用的語詞僅經配置以描述特定例示實施例之目的,並非用以限制本揭露概念。如本文所使用,單數形式「一」與「該」亦用以包含複數形式,除非本文中另有明確指示。應理解說明書中所使用的「包括」一詞專指所稱特徵、整數、步驟、操作、元件或組件的存在,但不排除一或多個其他特徵、整數、步驟、操作、元件、組件或其群組的存在。
圖1是功能方塊圖,例示本揭露實施例的DRAM 9。如圖1所示,在一些實施例中,DRAM 9包括:記憶體陣列91;行解碼器92,耦合於記憶體陣列91;位址緩衝器93,耦合於行解碼器92;複數個感測放大器94,耦合於記憶體陣列91;輸入/輸出緩衝器95,耦合於感測放大器94;列解碼器96,耦合於感測放大器94;時脈產生器97,耦合於位址緩衝器93、列解碼器96、感測放大器94和輸入/輸出緩衝器95;複數個字元線98,設置於記憶體陣列91內部;以及複數個位元線99,設置於記憶體陣列91內部並與複數個字元線98相交。
圖2是功能方塊圖,例示比較泵浦電路10。參考圖2,比較泵浦電路10包括:振盪模組101;泵浦模組102,耦合於振盪模組101和泵浦輸出1020;電壓感測器103,耦合於電壓輸入1030;比較器104,耦合於電壓感測器103;控制器105,耦合於比較器104和振盪模組101之間。電壓輸入1030和泵浦輸出1020耦合於DRAM 9的字元線98。電壓感測器103透過電壓輸入1030測量DRAM 9的電源電壓(VDD),DRAM 9的電源電壓(VDD)與參考電壓(VR)進行比較。在DRAM 9的電源電壓(VDD)小於參考電壓(VR)時,控制器105從比較器104接收電壓比較資訊,將控制訊號(Sc)發送到振盪模組101,以啟動泵浦程序;控制器105並在DRAM 9的供應電壓(VDD)等於或大於參考電壓(VR)時,發送另一個控制訊號(Sc)到振盪模組101,以停止泵浦程序。在泵浦程序中,振盪模組101向泵浦模組102發送一個振盪頻率的時脈訊號,泵浦模組102通過泵浦輸出1020產生泵浦電壓(Vpump)和泵浦電流(Ipump),以驅動DRAM 9。由於泵浦電流(Ipump)與時脈訊號的振盪頻率具有正相關,當時脈訊號的振盪頻率固定時,泵浦電流(Ipump)相應地固定。
在比較泵浦電路10的運作過程中,當DRAM 9的溫度下降,DRAM 9沒有必要保持在高更新頻率下即可防止資料丟失;亦即,不需要使用高泵浦電流(Ipump)來驅動DRAM 9。如此,由於更新頻率和泵浦電流(Ipump)不必要地高,使用比較泵浦電路10的DRAM 9消耗不必要的電量,從而產生了功耗問題。
圖3是功能方塊圖,例示本揭露實施例的泵浦電路1。參照圖1和圖3,在本揭露實施例中,泵浦電路1包括:溫度感測模組11、振盪模組12、泵浦模組13、電壓感測器14、比較器15和控制器16。在本揭露實施例中,溫度輸入110耦合於DRAM 9,溫度感測模組11經配置以通過溫度輸入110測量DRAM 9的溫度。在本揭露實施例中,振盪模組12耦合於溫度感測模組11,並經配置以產生時脈訊號。
在一些實施例中,泵浦模組13耦合於振盪模組12與泵浦輸出130之間,並且泵浦輸出130進一步耦合於DRAM 9的字元線98。在一些實施例中,當DRAM 9的電源電壓(VDD)小於參考電壓(VR)時,泵浦模組13經配置以通過泵浦輸出130產生泵浦電壓(Vpump)和泵浦電流(Ipump),以驅動DRAM 9。在一些實施例中,當DRAM 9的溫度改變時,時脈訊號的振盪頻率根據DRAM 9的溫度相應地變化,泵浦電流(Ipump)根據時脈訊號的振盪頻率相應地變化。
在本揭露實施例中,電壓感測器14耦合於電壓輸入140,電壓輸入140進一步耦合於DRAM 9的字元線98。在本揭露實施例中,電壓感測器14經配置以通過電壓輸入140測量DRAM 9的電源電壓(VDD)。
在本揭露實施例中,比較器15耦合於電壓感測器14,並經配置以將DRAM 9的電源電壓(VDD)與參考電壓(VR)進行比較。在本揭露實施例中,控制器16耦合於比較器15和振盪模組12之間,並經配置以將控制訊號(Sc)發送到振盪模組12以驅動或停止泵浦程序。
圖4A是功能方塊圖,例示本揭露實施例之泵浦電路1的振盪模組12。參照圖3和圖4A,在本揭露實施例中,振盪模組12包括:第一振盪通道121、第二振盪通道122和第三振盪通道123。在本揭露實施例中,第一振盪通道121耦合於溫度感測模組11和泵浦模組13之間。在本揭露實施例中,第一振盪通道121包括:第一電晶體121a,耦合於溫度感測模組11;第一電阻121b,耦合於第一電晶體121a;第一電容器121c,耦合於第一電阻121b和泵浦模組13之間。在本揭露實施例中,第二振盪通道122耦合於溫度感測模組11和泵浦模組13之間,亦即並聯耦合於於第一振盪通道121。在本揭露實施例中,第二振盪通道122包括:第二電晶體122a,耦合於溫度感測模組11;第二電阻122b,耦合於第二電晶體122a;第二電容器122c,耦合於第二電阻122b和泵浦模組13之間。在本揭露實施例中,第三振盪通道123耦合於溫度感測模組11和泵浦模組13之間,亦即並聯耦合於於第一振盪通道121和第二振盪通道122之間。在本揭露實施例中,第三振盪通道123包括:第三電晶體123a,耦合於溫度感測模組11,第三電阻123b,耦合於第三電晶體123a,第三電容器123c,耦合於第三電阻123b和泵浦模組13之間。
在本揭露實施例中,第一電阻121b的第一電阻值(R1)大於第二電阻122b的第二電阻值(R2),第二電阻122b的第二電阻值(R2)大於第三電阻123b的第三電阻值(R3)。在本揭露實施例中,第一電容器121c的第一電容值(C1)大於第二電容器122c的第二電容值(C2),第二電容器122c的第二電容值(C2)大於第三電容器123c的第三電容值(C3)。因此,在本揭露實施例中,第一振盪通道121的RC值(電阻值 電容值)大於第二振盪通道122的RC值,第二振盪通道122的RC值大於第三振盪通道123的RC值。
在本揭露實施例中,第一振盪通道121產生具有第一振盪頻率(F1)的時脈訊號,第二振盪通道122產生具有第二振盪頻率(F2)的時脈訊號,第三振盪通道123產生具有第三振盪頻率(F3)的時脈訊號。在本揭露實施例中,第一振盪頻率(F1)小於第二振盪頻率(F2),第二振盪頻率(F2)小於第三振盪頻率(F3)。
在本揭露之其他實施例中,可省略第一、第二和第三電阻121b、122b和123b;在這種方式下,第一、第二和第三電晶體121a、122a和123a分別配置成第一、第二和第三電阻(R1、R2、R3)。
在本揭露實施例中,當第一、第二和第三電晶體121a、122a和123a經配置以分別實現第一、第二和第三電阻(R1、R2、R3)時,第一、第二和第三電晶體121a、122a和123a由複數個金屬氧化物-半導體場效應電晶體(MOSFET)分別予以實現。在本揭露實施例中,第一、第二和第三電晶體121a、122a和123a包括:一個閘極(gate)、一個汲極(drain)、以及一個源極(source)等三個端點。當第一、第二和第三電晶體121a、122a和123a啟動時,第一、第二和第三電晶體121a、122a和123a具有線性電阻,它定義為汲極-源極導通電阻(drain-source on resistance,RDS)。在本揭露實施例中,第一、第二和第三電阻(R1、R2、R3)分別由第一、第二和第三電晶體121a、122a和123a的RDS,個別地予以實現。
由於RDS是由閘極-源極(gate-to-source)電壓所控制,因此可以通過調整閘極-源極電壓來改變RDS。因此,第一、第二和第三電阻(R1,R2,R3)可以由第一、第二和第三電晶體121a、122a和123a在不同的閘極-源極電壓,個別地予以實現。
圖4B為功能方塊圖,例示本揭露實施例之另一個振盪模組12'。參照圖3和圖4B,在本揭露實施例中,振盪模組12'包括振盪通道121',耦合於溫度感測模組11和泵浦模組13之間。在一些實施例中,振盪通道121'包括一個電晶體單元121a',耦合於溫度感測模組11。在一些實施例中,電晶體單元121a'包括複數個電晶體;一個電阻單元121b'(包括複數個電阻器),耦合於電晶體單元121a';以及一個電容器單元121c'(包括複數個電容器),耦合於電阻單元121b'和泵浦模組13之間。在本揭露實施例中,當DRAM 9的溫度改變時;通過控制電晶體單元121a'的電晶體來調整振盪通道121'的RC值,其中包含調整電阻單元121b'的致能電阻器的數量和電容單元121c'的致能電容器的數量。
在本揭露之其他實施例中,可以省略電阻單元121b';在這種實施例中,電晶體單元121a'經配置以產生和更改振盪通道121'的電阻。
在本揭露實施例中,當電晶體單元121a'經配置以產生和改變振盪通道121'的電阻時,電晶體單元121a'的電晶體由MOSFET予以實現。同樣地,在本揭露實施例中,電晶體單元121a'的每個電晶體都有RDS,而振盪通道121'的電阻則由電晶體單元121a'的複數個RDSs予以實現。因此,振盪通道121'的整體電阻也可以通過調整電晶體單元121a'之複數個電晶體的閘極-源極電壓來改變。
圖5是功能方塊圖,例示本揭露實施例之泵浦電路1的泵浦模組13。參照圖5,在本揭露實施例中,泵浦模組13包括:第一泵浦單元131,耦合於振盪模組12;第二泵浦單元132,耦合於第一泵浦單元131;第三泵浦單元133,耦合於第二泵浦單元132和泵浦輸出130之間。在一些實施例中,泵浦模組13的泵浦單元的數量可以變化。在本揭露實施例中, DRAM 9的電源電壓 (VDD)因應時脈訊號而產生,並在依次通過第一泵浦單元131、第二泵浦單元132和第三泵浦單元133時,以相等的比例升高。因此,泵浦模組13的泵浦單元的數量可以根據DRAM 9的泵浦電壓(Vpump)而予以確定。
如圖5所示,在一些實施例中,由於DRAM 9的電源電壓(VDD)在通過三個泵浦單元131、132和133中的每一個之後以相同比例升高,所以在通過第一泵浦單元131之後的第一升高電壓是DRAM 9的電源電壓(VDD)的兩倍,通過第二泵浦單元132之後的第二升高電壓是DRAM 9的電源電壓(VDD)的三倍,最終經過第三泵浦單元133之後的第三升高的電壓是DRAM 9電源電壓(VDD)的四倍,此一電壓被設定為泵浦電壓(Vpump)。在其他實施例中,這種設定可以變化。
圖6是電路圖,例示本揭露實施例之泵浦電路1之泵浦模組13的泵浦單元。參照圖6,在本揭露實施例中,第一、第二和第三泵浦單元131、132和133包括:第一開關(S1)、第二開關(S2)、第三開關(S3)、第四開關(S4)、泵浦電容器(Cp)和邏輯閘134。
參照圖6,在本揭露實施例中,在每個第一、第二和第三泵浦單元131、132和133的泵浦程程中,在前半週期,第一開關(S1)和第四開關(S4)關閉,第二開關(S2)和第三開關(S3)打開啟,泵浦電容器(Cp)被充電至輸入電壓(Vi)。在本揭露實施例中,在後半週期中,第一開關(S1)和第四開關(S4)打開,第二開關(S2)和第三開關(S3)關閉,輸出電壓(Vout)是兩倍輸入電壓(vi);例如,Vout = Vi(輸入)+ Vi(泵浦電容器的電壓))。
在本揭露實施例中,邏輯閘134經配置以驅動第一、第二、第三和第四開關(S1、S2、S3、S4)的週期性切換,而且第一、第二、第三和第四開關(S1、S2、S3、S4)的切換頻率是根據時脈訊號的振盪頻率。在本揭露實施例中,邏輯閘134經配置為反向器,而在其他實施例中,邏輯閘134的配置可能會有所不同。
在本揭露實施例中,如果時脈訊號的振盪頻率較低,則第一、第二、第三和第四開關(S1、S2、S3、S4)的切換頻率較低,泵浦電容器需要更多時間充電;相比之下,如果振盪時脈訊號頻率較高,第一、第二、第三和第四開關(S1,S2,S3,S4)的切換頻率亦較高,泵浦電容器(Cp)的充電時間較少,亦即充電速度更快。從另外一個方面來看,當時脈訊號的振盪頻率較低時,泵浦電容器(Cp)之充電電荷較少,因此輸出較小的泵浦電流(Ipump);當時脈訊號的振盪頻率較高,泵浦電容器(Cp)的充電電荷較多,因此輸出較大的泵浦電流(Ipump)。因此,泵浦電流(Ipump)與時脈訊號的振盪頻率具有正相關。
比較泵浦電路10不包括溫度感測模組,因此當DRAM 9的溫度變化時,時脈訊號和泵浦電流(Ipump)的振盪頻率不會改變。與此相反,本揭露的泵浦電路1包括溫度感測模組11,可測量DRAM 9的溫度以確定時脈訊號的振盪頻率。此外,時脈訊號的振盪頻率不固定,因為本揭露的振盪模模組12(或振盪模組12')的RC值不固定。因此,泵浦電流(Ipump)可以隨時脈訊號的振盪頻率(DRAM 9的溫度)的變化而改變,因而可以解決功耗(電力消耗)問題。
圖7是流程圖,例示本本揭露實施例之時脈訊號的振盪頻率的控制方法2。參照圖7,在本揭露實施例中,控制方法2包括下列步驟。步驟21:測量DRAM 9的溫度;步驟22:根據DRAM 9的溫度,產生一數值訊號(Sn);步驟23:根據該數值訊號(Sn),產生一時脈訊號,該時脈訊號具有一振盪頻率;和步驟24:根據該時脈訊號的振盪頻率,產生一泵浦電流(Ipump)。
參照圖4A和圖7,在本揭露實施例中,步驟23之執行是根據DRAM 9的溫度,藉由使用第一振盪通道121、第二振盪通道122和第三振盪通道123的其中之一,將數值訊號(Sn)轉換為時脈訊號。
參照圖4B和圖7,在本揭露實施例中,步驟23之執行是藉由使用振盪模組12'中的振盪通道121',將數值訊號(Sn)轉換為時脈訊號。
圖8為示意圖,例示本揭露實施例之DRAM 9的溫度與時脈訊號的振盪頻率之間的關係,以及DRAM 9的溫度與泵浦電流之間的關係。參照圖8,在本揭露實施例中,當DRAM 9的溫度小於第一預設溫度(T1)時,DRAM 9被認為處於低溫狀態;當DRAM 9的溫度介於第一預設溫度(T1)和第二預設溫度(T2)之間時,DRAM 9被認為處於常溫狀態;當DRAM 9的溫度大於第二預設溫度(T2)時,DRAM 9被認為處於高溫狀態。在其他實施例中,定義不同溫度狀態的DRAM 9的溫度範圍可能會變化。
在本揭露實施例中,第一預設溫度(T1)為攝氏零度,第二預設溫度(T2)為攝氏130度,而在其他實施例中,第一和第二預設溫度(T1、T2)的值可能會變化。
在本揭露實施例中,當DRAM 9的溫度發生變化時,時脈訊號的振盪頻率與DRAM 9的溫度呈正相關。參照圖8,在本揭露實施例,當DRAM 9從低溫狀態變為常溫狀態時,時脈訊號的振盪頻率和泵浦電流(Ipump)相應地增加;當DRAM 9從常溫狀態變到高溫狀態,時脈訊號的振盪頻率和泵浦電流(Ipump)也相應地增加。
在本揭露實施例中,當DRAM 9處於低溫狀態時,數值訊號(Sn)通過第一振盪通道121轉換為具有第一振盪頻率(F1)的時脈訊號;當DRAM 9在常溫狀態下,數值訊號(Sn)通過第二振盪通道122轉換為具有第二振盪頻率(F2)的時脈訊號;當DRAM 9處於高溫狀態時,數值訊號(Sn)通過第三振盪通道123轉換為具有第三振盪頻率(F3)的時脈訊號。
複參圖3,在本揭露實施例中,在泵浦程序中,電壓感測器14測量DRAM 9的電源電壓(VDD),並向比較器15發送電壓資訊。然後,比較器15比較DRAM 9的電源電壓(VDD)與參考電壓(VR),並向控制器16發送電壓比較資訊。隨後,如果DRAM 9的電源電壓(VDD)小於參考電壓(VR),則控制器16發送控制訊號(Sc)至泵浦模組13,以產生泵浦電壓(Vpump)和泵浦電流(Ipump),藉以驅動DRAM 9;如果DRAM 9的電源電壓(VDD)等於或大於參考電壓(VR),控制器16將控制訊號(Sc)發送到泵浦模組13,以停止產生泵浦電壓(Vpump)和泵浦電流(Ipump)。
圖9是示意圖,例示本揭露實施例在三種不同的溫度狀態下,泵浦時間與泵浦電流(Ipump)之間的關係。參照圖9,在本揭露實施例中,當DRAM 9處於高溫狀態時,泵浦模組13產生第一泵浦電流(Ipump1);當DRAM 9處於常溫狀態時,泵浦模組13產生第二泵浦電流(Ipump2);當DRAM 9處於低溫狀態時,泵浦模組13產生第三泵浦電流(Ipump3)。
在一些實施例中,在泵浦時間區間內,第一泵浦電流(Ipump1)的增加速率大於第二泵浦電流(Ipump2)的增加速率,第二泵浦電流(Ipump2)的增加速率大於第三泵浦電流(Ipump3)的增加速率。
在一些實施例中,在特定泵時間點上,第一泵浦電流(Ipump1)大於第二泵浦電流(Ipump2),第二泵浦電流(Ipump2)大於第三泵浦電流(Ipump3)。
在比較泵浦電路10的泵浦程序中,泵浦模組102產生固定泵浦電流(Ipump),因為時脈訊號的振盪頻率是固定的。相比之下,在本揭露之泵浦電路1的泵浦程序中,可以測量DRAM 9的溫度以確定時脈訊號的振盪頻率,而時脈訊號的振盪頻率不固定,與泵浦電流(Ipump)呈正相關;因此,泵浦電流(Ipump)可以根據DRAM 9的溫度變化而相應地變化。
綜上所述,本揭露藉由配置溫度感測模組11,泵浦電路1可以根據該時脈訊號的該振盪頻率而產生該泵浦電流(Ipump),以驅動DRAM 9。因此,本揭露可以解決電力消耗問題。
本揭露提供一種泵浦電路,包括:一溫度感測模組、一振盪模組和一泵浦模組。該溫度感測模組經配置以測量一DRAM的溫度。該振盪模組耦合於該溫度感測模組,並經配置以根據該DRAM的溫度而產生一時脈訊號。該泵浦模組耦合於該振盪模組,經配置以產生一泵浦電壓和一泵浦電流,以驅動該DRAM,其中該泵浦電流是基於該時脈訊號的一振盪頻率而產生。在本揭露實施例中,當該DRAM的溫度變化時,該時脈訊號的該振盪頻率根據該DRAM的溫度相應地變化,該泵浦電流根據該時脈訊號的該振盪頻率相應地變化。
本揭露另提供一種DRAM。該DRAM包含一記憶體陣列;複數個字元線,設置於該記憶體陣列中;以及一泵浦電路,耦合於該複數個字元線並經配置以提供一泵浦電流至該複數個字元線。該泵浦電路包括:一溫度感測模組、一振盪模組和一泵浦模組。該溫度感測模組經配置以測量一DRAM的溫度。該振盪模組耦合於該溫度感測模組,並經配置以根據該DRAM的溫度而產生一時脈訊號。該泵浦模組耦合於該振盪模組,經配置以產生一泵浦電壓和一泵浦電流,以驅動該DRAM,其中該泵浦電流是基於該時脈訊號的一振盪頻率而產生。在本揭露實施例中,當該DRAM的溫度變化時,該時脈訊號的該振盪頻率根據該DRAM的溫度相應地變化,該泵浦電流根據該時脈訊號的該振盪頻率相應地變化。
本揭露另提供一種動態隨機存取記憶體(DRAM)之泵浦電流產生方法,包含:測量該DRAM的溫度;根據該DRAM的溫度產生一數值訊號;根據該數值訊號,產生一時脈訊號,該時脈訊號具有一振盪頻率;以及根據該時脈訊號的該振盪頻率,產生一泵浦電流。
雖然已詳述本揭露及其優點,然而應理解可進行各種變化、取代與替代而不脫離申請專利範圍所定義之本揭露的精神與範圍。例如,可用不同的方法實施上述的許多製程,並且以其他製程或其組合替代上述的許多製程。
再者,本申請案的範圍並不受限於說明書中所述之製程、機械、製造、物質組成物、手段、方法與步驟之特定實施例。該技藝之技術人士可自本揭露的揭示內容理解可根據本揭露而使用與本文所述之對應實施例具有相同功能或是達到實質相同結果之現存或是未來發展之製程、機械、製造、物質組成物、手段、方法、或步驟。據此,此等製程、機械、製造、物質組成物、手段、方法、或步驟係包含於本申請案之申請專利範圍內。
1‧‧‧泵浦電路
10‧‧‧比較泵浦電路
11‧‧‧溫度感測模組
12‧‧‧振盪模組
12'‧‧‧振盪模組
13‧‧‧泵浦模組
14‧‧‧電壓感測器
15‧‧‧比較器
16‧‧‧控制器
91‧‧‧記憶體陣列
92‧‧‧行解碼器
93‧‧‧位址緩衝器
94‧‧‧感測放大器
95‧‧‧輸入/輸出緩衝器
96‧‧‧列解碼器
97‧‧‧時脈產生器
98‧‧‧字元線
99‧‧‧位元線
101‧‧‧振盪模組
102‧‧‧泵浦模組
103‧‧‧電壓感測器
104‧‧‧比較器
105‧‧‧控制器
110‧‧‧溫度輸入
121‧‧‧振盪通道
121a‧‧‧電晶體單元
121b‧‧‧電阻單元
121c‧‧‧電容單元
121'‧‧‧振盪通道
121a'‧‧‧電晶體單元
121b'‧‧‧電阻單元
121c'‧‧‧電容單元
122‧‧‧振盪通道
122a‧‧‧電晶體單元
122b‧‧‧電阻單元
122c‧‧‧電容單元
123‧‧‧振盪通道
123a‧‧‧電晶體單元
123b‧‧‧電阻單元
123c‧‧‧電容單元
130‧‧‧泵浦輸出
131‧‧‧泵浦單元
132‧‧‧泵浦單元
133‧‧‧泵浦單元
134‧‧‧邏輯閘
140‧‧‧電壓輸入
1020‧‧‧泵浦輸出
1030‧‧‧電壓輸入
Cp‧‧‧泵浦電容
Ipump‧‧‧泵浦電流
S1‧‧‧開關
S2‧‧‧開關
S3‧‧‧開關
S4‧‧‧開關
Sc‧‧‧控制訊號
Sn‧‧‧數值訊號
VDD‧‧‧電源電壓
VR‧‧‧參考電壓
Vpump‧‧‧泵浦電壓
參閱實施方式與申請專利範圍耦合於考量圖式時,可得以更全面了解本申請案之揭示內容,圖式中相同的元件符號係指相同的元件。 圖1為功能方塊圖,例示本揭露實施例之DRAM。 圖2為功能方塊圖,例示本揭露實施例之比較泵浦電路。 圖3為功能方塊圖,例示本揭露實施例之泵浦電路。 圖4A為功能方塊圖,例示本揭露實施例之泵浦電路之振盪模組。 圖4B為功能方塊圖,例示本揭露實施例之泵浦電路之另一個振盪模組。 圖5為功能方塊圖,例示本揭露實施例之泵浦電路之泵浦模組。 圖6為示意圖,例示本揭露實施例之泵浦電路之泵浦單元的電路。 圖7為流程圖,例示本揭露實施例之時脈訊號之振盪頻率的控制方法。 圖8為示意圖,例示本揭露實施例之DRAM的溫度與時脈訊號的振盪頻率之間的關係,以及DRAM的溫度與泵浦電流之間的關係。 圖9為示意圖,例示本揭露實施例在三種不同的溫度狀態下,泵浦時間與泵浦電流之間的關係。

Claims (17)

  1. 一種泵浦電路,包括:一溫度感測模組,經配置以測量一動態隨機存取記憶體(DRAM)的溫度;一振盪模組,耦合於該溫度感測模組,並經配置以根據該DRAM的溫度產生一時脈訊號;以及一泵浦模組,耦合於該振盪模組,並經配置以產生一泵浦電流以驅動該DRAM,其中該泵浦電流是根據該時脈訊號的一振盪頻率而產生;其中,當該DRAM的溫度變化時,該時脈訊號的該振盪頻率根據該DRAM的溫度相應地變化,該泵浦電流根據該時脈訊號的該振盪頻率相應地變化;其中該振盪模組包括:一第一振盪通道,耦合於該溫度感測模組和該泵浦模組之間,並經配置以產生一第一振盪頻率;以及一第二振盪通道,並聯耦合於該第一振盪通道,並經配置以產生一第二振盪頻率;其中該第一振盪頻率小於該第二振盪頻率。
  2. 如請求項1所述之泵浦電路,其中該振盪模組進一步包括:一第三振盪通道,並聯耦合於該第一振盪通道和該第二振盪通道,並經配置以產生一第三振盪頻率;其中該第二振盪頻率小於該第三振盪頻率。
  3. 如請求項2所述之泵浦電路,其中該第一振盪通道具有一第一電阻和一第一電容,該第二振盪通道具有一第二電阻和一第二電容,該第一電阻大於該第二電阻,該第一電容大於該第二電容。
  4. 如請求項3所述之泵浦電路,其中該第三振盪通道具有一第三電阻和一第三電容,該第二電阻大於該第三電阻,該第二電容大於該第三電容。
  5. 如請求項1所述之泵浦電路,其中:該振盪模組包括一振盪通道,耦合於該溫度感測模組和該泵浦模組之間;以及該振盪通道具有一可變電阻和一可變電容。
  6. 一種動態隨機存取記憶體(DRAM),包括:一記憶體陣列;複數個字元線,設置於該記憶體陣列中;以及一泵浦電路,耦合於該複數個字元線並經配置以提供一泵浦電流至該複數個字元線;其中該泵浦電路包括:一溫度感測模組,經配置以測量一動態隨機存取記憶體(DRAM)的溫度;以及一振盪模組,耦合於該溫度感測模組,並經配置以根據該DRAM的溫度產生一時脈訊號;以及一泵浦模組,耦合於該振盪模組,並經配置以產生一泵浦電流以驅動該DRAM,其中該泵浦電流是根據該時脈訊號的一振盪頻率而產生;其中,當該DRAM的溫度變化時,該時脈訊號的該振盪頻率根據該DRAM的溫度相應地變化,該泵浦電流根據該時脈訊號的該振盪頻率相應地變化;其中該振盪模組包括:一第一振盪通道,耦合於該溫度感測模組和該泵浦模組之間,並經配置以產生一第一振盪頻率;以及一第二振盪通道,並聯耦合於該第一振盪通道,並經配置以產生一第二振盪頻率;其中該第一振盪頻率小於該第二振盪頻率。
  7. 如請求項6所述之DRAM,其中該振盪模組進一步包括:一第三振盪通道,並聯耦合於該第一振盪通道和該第二振盪通道,並經配置以產生一第三振盪頻率;其中該第二振盪頻率小於該第三振盪頻率。
  8. 如請求項7所述之DRAM,其中該第一振盪通道具有一第一電阻和一第一電容,該第二振盪通道具有一第二電阻和一第二電容,該第一電阻大於該第二電阻,該第一電容大於該第二電容。
  9. 如請求項8所述之DRAM,其中該第三振盪通道具有一第三電阻和一第三電容,該第二電阻大於該第三電阻,該第二電容大於該第三電容。
  10. 如請求項6所述之DRAM,其中:該振盪模組包括一振盪通道,耦合於該溫度感測模組和該泵浦模組之間;以及該振盪通道具有一可變電阻和一可變電容。
  11. 一種動態隨機存取記憶體(DRAM)之泵浦電流產生方法,包括:測量該DRAM的溫度;根據該DRAM的溫度產生一數值訊號;根據該數值訊號,產生一時脈訊號,該時脈訊號具有一振盪頻率;以及根據該時脈訊號的該振盪頻率,產生一泵浦電流;其中產生該時脈訊號係使用複數個振盪通道之一將該數值訊號轉換成該時脈訊號,其中該複數個振盪通道具有不同的電阻及電容。
  12. 如請求項11所述的泵浦電流產生方法,其中當該DRAM的溫度變化時,該時脈訊號的該振盪頻率與該DRAM的溫度呈正相關變化。
  13. 如請求項11所述的泵浦電流產生方法,其中,當該DRAM的一電源電壓小於一參考電壓時,產生該泵浦電流以驅動該DRAM。
  14. 如請求項11所述的泵浦電流產生方法,其中產生該時脈訊號包含:根據該DRAM的溫度,將該數值訊號通過該複數個振盪通道之一。
  15. 如請求項11所述的泵浦電流產生方法,其中該複數個振盪通道產生具有不同振盪頻率的時脈訊號。
  16. 如請求項11所述的泵浦電流產生方法,其中產生該時脈訊號係使用一振盪通道將該數值訊號轉換成該時脈訊號,其中該振盪通道具有一可變電阻及一可變電容。
  17. 一種動態隨機存取記憶體(DRAM)之泵浦電流產生方法,包括:測量該DRAM的溫度;根據該DRAM的溫度產生一數值訊號;根據該數值訊號,產生一時脈訊號,該時脈訊號具有一振盪頻率;以及根據該時脈訊號的該振盪頻率,產生一泵浦電流;其中產生該時脈訊號係使用一振盪通道將該數值訊號轉換成該時脈訊號,其中該振盪通道具有一可變電阻及一可變電容;其中當該DRAM的溫度變化時,該振盪通道的一RC值隨之改變以產生該時脈訊號的一相應振盪頻率。
TW107119992A 2018-04-30 2018-06-11 Dram的泵浦電路及泵浦電流產生方法 TWI668702B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/967,069 US10325644B1 (en) 2018-04-30 2018-04-30 Pump circuit in a DRAM, and method for generating a pump current
US15/967,069 2018-04-30

Publications (2)

Publication Number Publication Date
TWI668702B true TWI668702B (zh) 2019-08-11
TW201946054A TW201946054A (zh) 2019-12-01

Family

ID=66825981

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107119992A TWI668702B (zh) 2018-04-30 2018-06-11 Dram的泵浦電路及泵浦電流產生方法

Country Status (3)

Country Link
US (1) US10325644B1 (zh)
CN (1) CN110415745B (zh)
TW (1) TWI668702B (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11450355B1 (en) 2021-05-03 2022-09-20 Powerchip Semiconductor Manufacturing Corporation Semiconductor memory with temperature dependence

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200507735A (en) * 2003-08-11 2005-02-16 Hitachi Ltd Electronic equipment provided with cooling system
KR20070080492A (ko) * 2006-02-07 2007-08-10 삼성전자주식회사 온도 변화에 따라 고전압 발생 회로의 출력 전압 레벨을조절하는 반도체 메모리 장치
TW200835158A (en) * 2006-10-17 2008-08-16 Marvell World Trade Ltd Crystal oscillator emulator
US9000856B1 (en) * 2014-05-23 2015-04-07 Sandisk Technologies Inc. System and method for process and temperature calibration of capacitor-based oscillators
US20150249428A1 (en) * 2014-03-03 2015-09-03 Sandisk Technologies Inc. Methods and Apparatus for Clock Oscillator Temperature Coefficient Trimming
CN106840453A (zh) * 2017-02-10 2017-06-13 武汉理工大学 一种蓝宝石掺杂晶体激光高温传感系统及方法

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100577560B1 (ko) * 2003-12-23 2006-05-08 삼성전자주식회사 온도감지 데이터에 응답하는 내부회로를 갖는 반도체메모리장치
KR100611775B1 (ko) * 2003-12-29 2006-08-10 주식회사 하이닉스반도체 온도변화에 따라 최적의 리프레쉬 주기를 가지는 반도체메모리 장치
US8072256B2 (en) * 2007-09-14 2011-12-06 Mosaid Technologies Incorporated Dynamic random access memory and boosted voltage producer therefor
US7630267B2 (en) * 2007-10-31 2009-12-08 Elite Semiconductor Memory Technology Inc. Temperature detector in an integrated circuit
KR101313819B1 (ko) * 2011-06-09 2013-09-30 에스케이하이닉스 주식회사 내부 전압 생성 회로 및 그의 동작 방법
KR102393426B1 (ko) * 2015-11-10 2022-05-04 에스케이하이닉스 주식회사 반도체장치

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200507735A (en) * 2003-08-11 2005-02-16 Hitachi Ltd Electronic equipment provided with cooling system
KR20070080492A (ko) * 2006-02-07 2007-08-10 삼성전자주식회사 온도 변화에 따라 고전압 발생 회로의 출력 전압 레벨을조절하는 반도체 메모리 장치
TW200835158A (en) * 2006-10-17 2008-08-16 Marvell World Trade Ltd Crystal oscillator emulator
US20150249428A1 (en) * 2014-03-03 2015-09-03 Sandisk Technologies Inc. Methods and Apparatus for Clock Oscillator Temperature Coefficient Trimming
US9000856B1 (en) * 2014-05-23 2015-04-07 Sandisk Technologies Inc. System and method for process and temperature calibration of capacitor-based oscillators
CN106840453A (zh) * 2017-02-10 2017-06-13 武汉理工大学 一种蓝宝石掺杂晶体激光高温传感系统及方法

Also Published As

Publication number Publication date
CN110415745B (zh) 2021-05-04
CN110415745A (zh) 2019-11-05
US10325644B1 (en) 2019-06-18
TW201946054A (zh) 2019-12-01

Similar Documents

Publication Publication Date Title
KR100804627B1 (ko) 레벨 검출회로 및 방법과, 반도체 메모리 장치의 기판바이어스 전압 발생회로 및 방법
US7554869B2 (en) Semiconductor memory device having internal circuits responsive to temperature data and method thereof
US7315221B2 (en) Method and circuit for controlling a refresh of a semiconductor memory device
JPH01119114A (ja) ディレイ回路
US7038967B2 (en) Semiconductor apparatus capable of performing refresh control
KR100857696B1 (ko) 오실레이터 회로 및 반도체 기억 장치
KR101053522B1 (ko) 반도체 메모리 장치의 리프레쉬 제어 회로
US7804368B2 (en) Oscillator and charge pump circuit using the same
TWI668702B (zh) Dram的泵浦電路及泵浦電流產生方法
TWI408691B (zh) 內部電壓產生器
US9444458B2 (en) Semiconductor device including inverter gate circuit with connection configuration switch circuit switching real values of gate width and gate length
KR20100052675A (ko) 주기 신호 생성 회로
US7893755B2 (en) Internal voltage generation circuit
US8050112B2 (en) Internal voltage generation circuit
TWI687927B (zh) 幫浦電路、動態隨機存取記憶體及整體幫浦電流的控制方法
TWI692200B (zh) 載帶芯片用開機關機重置電路及其工作方法
JP2006108778A (ja) 出力回路
US20160241141A1 (en) Voltage generator
JP2024002737A (ja) タイマー回路、オシレータ回路、半導体装置
CN114244277A (zh) 一种精确控制占空比的集成振荡器
TWM586490U (zh) 載帶芯片用開機關機重置電路
TWM586491U (zh) 載帶芯片用開機重置電路
CN116094500A (zh) 基于失调电压校准技术的数字综合动态电压比较器
JP2009005025A (ja) 基準電位発生回路、およびタイミング調整回路
JP2010118802A (ja) パワーオンリセット回路