TWI651767B - 形成應力鬆弛緩衝層的方法 - Google Patents

形成應力鬆弛緩衝層的方法 Download PDF

Info

Publication number
TWI651767B
TWI651767B TW103130843A TW103130843A TWI651767B TW I651767 B TWI651767 B TW I651767B TW 103130843 A TW103130843 A TW 103130843A TW 103130843 A TW103130843 A TW 103130843A TW I651767 B TWI651767 B TW I651767B
Authority
TW
Taiwan
Prior art keywords
buffer layer
layer
germanium
substrate
annealing
Prior art date
Application number
TW103130843A
Other languages
English (en)
Other versions
TW201517129A (zh
Inventor
黃奕樵
金以寬
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW201517129A publication Critical patent/TW201517129A/zh
Application granted granted Critical
Publication of TWI651767B publication Critical patent/TWI651767B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02378Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02387Group 13/15 materials
    • H01L21/02389Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02441Group 14 semiconducting materials
    • H01L21/0245Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02494Structure
    • H01L21/02496Layer structure
    • H01L21/02502Layer structure consisting of two layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02529Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02538Group 13/15 materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02538Group 13/15 materials
    • H01L21/0254Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02551Group 12/16 materials
    • H01L21/02554Oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Toxicology (AREA)
  • Health & Medical Sciences (AREA)
  • Chemical Vapour Deposition (AREA)
  • Recrystallisation Techniques (AREA)

Abstract

本文描述之實施例一般而言係關於用於鬆弛薄半導體膜中之應力的方法,該薄半導體膜生長在具有不同晶格常數之另一半導體基板上。應力鬆弛通常涉及在半導體基板上形成應力鬆弛緩衝層,以用於在頂端上進一步生長另一半導體材料。習知形成的緩衝層經常為厚的、粗糙的及/或有缺陷的,但使用本文描述之實施例形成的應力鬆弛緩衝層展示出改良的表面形態且具有最小缺陷。

Description

形成應力鬆弛緩衝層的方法
本揭示案之實施例大體係關於用於在基板上形成膜之方法及裝置。更特定言之,本揭示案之實施例係關於用於結晶膜之異質磊晶生長的方法及裝置。
已發現,第III-V族及第II-IV族化合物在多種半導體元件之開發及製造中非常重要,該等半導體元件諸如,例如互補性金屬氧化物半導體(CMOS)、發光二極體(LED)、鐳射二極體(LD)及邏輯電路元件(諸如場效電晶體(FET))。在此等元件中,具有不同混合晶體組份之複數個半導體層經層疊在一起,以獲取所要的光學及電特徵。
第III-V族及第IV族化合物膜大體係藉由異質磊晶法(一種磊晶形式)形成。在磊晶法中,自氣體或液體前驅物在單晶基板上沉積單晶膜。在沉積期間,基板用作晶種,沉積膜呈現與基板之彼等晶格結構及方向相同之晶格結構及方向。通常將沉積膜稱作磊晶膜或磊晶層。在異質磊晶法中,磊晶膜及基板通常包括具有不同性質(例如晶格常數及熱膨 脹係數)之不同材料。性質之差異將缺陷引入磊晶膜中,且甚至可能引起基板在生長高結晶氮化物時碎裂。例如,當在矽基板上生長氮化鎵材料時,由於晶格常數及熱膨脹係數的差異產生機械應力及熱應力,引起矽基板的彎曲及氮化鎵膜及甚至矽基板的碎裂。
減少缺陷密度之一方法涉及在基板上生長厚的應力鬆弛緩衝(SRB)層。生長此等以應力鬆弛為目標的厚SRB層可能係昂貴的。其他方法已試圖在所選基板上生長具有快速鬆弛之趨勢的不同材料,或生長由於積累應力最終鬆弛之較厚膜。然而,此等製程經常花費較長的時間完成,且涉及高昂材料成本。因此,需要減少缺陷密度同時減少處理時間及成本之更薄的應力鬆弛緩衝層。然而,此等較薄的緩衝層在曝露於隨後之退火製程之後經常遭受形態缺陷。
因此,需要用於沉積薄應力鬆弛緩衝層之方法,該緩衝層在曝露於隨後的退火製程之後維持光滑的表面形態。
本揭示案之實施例一般而言係關於用於在基板上形成膜之方法及裝置。更特定言之,本揭示案之實施例係關於用於結晶膜之異質磊晶生長的方法及裝置。在一實施例中,提供一種在基板上異質磊晶沉積應力鬆弛緩衝(SRB)層的方法。該方法包含以下步驟:在一不同的基板上磊晶沉積緩衝層;在緩衝層上磊晶沉積含矽覆蓋層;決定是否已達成緩衝層之所要的厚度;以及退火該緩衝層及矽覆蓋層,以鬆弛該緩衝層。
在另一實施例中,提供一種在基板上異質磊晶沉積應力鬆弛緩衝(SRB)層的方法。該方法包含以下步驟:在矽基板上磊晶沉積矽鍺(Si1-xGex)緩衝層,其中0<x<1;在矽鍺緩衝層上磊晶沉積矽覆蓋層;決定是否已達成矽鍺緩衝層之所要的厚度;以及退火該矽鍺緩衝層及矽覆蓋層,以鬆弛該矽鍺緩衝層。
在又一實施例中,提供一種在整合處理系統中在基板上形成異質磊晶膜之方法。該方法包含以下步驟:在整合處理系統之第一處理腔室中的基板上磊晶沉積緩衝層;在該緩衝層上磊晶沉積含矽覆蓋層;將該基板移送至整合處理系統之第二處理腔室中;以及在第二處理腔室中退火該緩衝層。該含矽覆蓋層可在第一處理腔室中沉積於該緩衝層之上。該含矽覆蓋層可在整合處理系統之第三處理腔室中沉積於該緩衝層之上。
100‧‧‧處理腔室
101‧‧‧腔室主體
102‧‧‧燈
103‧‧‧加載埠
104‧‧‧背面
105‧‧‧升舉銷
107‧‧‧基板支撐件
108‧‧‧基板
110‧‧‧正面
114‧‧‧下圓頂
116‧‧‧元件側
118‧‧‧頂端熱感測器
122‧‧‧反射體
126‧‧‧入口埠
128‧‧‧上圓頂
130‧‧‧排放出口
132‧‧‧中心軸
134‧‧‧上下方向
136‧‧‧熱控制空間
140‧‧‧熱輻射感測器
141‧‧‧燈泡
143‧‧‧反射體
145‧‧‧燈罩
149‧‧‧通道
156‧‧‧處理氣體區域
158‧‧‧淨化氣體區域
160‧‧‧控制器
162‧‧‧電源
167‧‧‧屏蔽
200‧‧‧元件
210‧‧‧基板
220‧‧‧緩衝層
220A‧‧‧緩衝層
220B‧‧‧緩衝層
220C‧‧‧緩衝層
230‧‧‧含矽覆蓋層
230A‧‧‧含矽覆蓋層
230B‧‧‧含矽覆蓋層
230C‧‧‧含矽覆蓋層
240‧‧‧活性材料層
300‧‧‧方法
310‧‧‧方塊
320‧‧‧方塊
330‧‧‧方塊
340‧‧‧方塊
350‧‧‧方塊
360‧‧‧方塊
370‧‧‧方塊
400‧‧‧元件
600‧‧‧曲線
610‧‧‧線
620‧‧‧線
630‧‧‧線
640‧‧‧線
650‧‧‧線
700‧‧‧群集工具
702‧‧‧移送室
704‧‧‧移送機器人
706‧‧‧加載鎖
706A‧‧‧加載鎖腔室
706B‧‧‧加載鎖腔室
708‧‧‧處理腔室
710‧‧‧處理腔室
712‧‧‧處理腔室
714‧‧‧處理腔室
716‧‧‧處理腔室
為可詳細瞭解上文所述之本揭示案之特徵,可參閱實施例對以上簡短總結的實施例進行更詳細的描述,其中一部分實施例在附加圖式中說明。然而,應注意,附圖僅圖示本揭示案之典型實施例,且因為本揭示案可承認其他同等有效之實施例,所以該等圖式並不欲視為本揭示案之範疇的限制。
第1圖為用於執行本文描述之實施例之處理腔室的橫截面示意圖;第2圖為根據本文描述之一實施例形成之元件的示 意結構;第3圖為流程圖,該圖描繪根據本文描述之一實施例用於使用異質磊晶沉積形成結構之方法;第4圖為根據本文描述之另一實施例形成之元件的示意結構;第5A圖描繪根據先前技術方法形成之元件堆疊的SEM照片;第5B圖至第5C圖描繪根據本文描述之實施例沉積之元件堆疊的SEM照片;第6圖為描繪多種膜之X射線繞射(XRD)的曲線;以及第7圖為根據本文描述之另一實施例之群集工具的平面圖。
為便於瞭解,相同元件符號儘可能用於指定諸圖共有之相同元件。設想,在一實施例中所揭示的元件可有利地用於其它實施例,而無需贅述。
本文描述之實施例一般而言係關於用於鬆弛薄半導體膜中之應力的方法,該薄半導體膜生長在具有不同晶格常數之另一半導體基板上。應力鬆弛通常涉及在半導體基板上形成應力鬆弛緩衝層,以用於在頂端上進一步生長另一半導體材料。習知形成的緩衝層經常為厚的、粗糙的及/或有缺陷的,但使用本文描述之實施例形成的應力鬆弛緩衝層展示出改良的表面形態同時具有最小缺陷。
具有鬆弛應力的高品質半導體膜在微晶片製造最先進技術中可具有很大的技術重要性。SiGe層之鬆弛通常藉由SiGe層之熱退火達成。然而,此鬆弛經常導致在膜中產生錯位及疊差。此等缺陷經常在頂端生長之鍺膜中傳播,且不僅使鍺膜降級,而且使得膜表面粗糙。本文描述之實施例不僅鬆弛此等半導體膜中的應力及控制表面形態,且亦最小化經由使用(矽/矽鍺)或(Si/SiGe)超晶格產生的穿透(threading)錯位。根據本文描述之實施例,應力鬆弛緩衝層頂端生長的磊晶鍺展示出高壓縮應力及改良的形態。
第1圖為用於執行本文描述之實施例之處理腔室的橫截面示意圖。處理腔室100可用於處理一或更多個基板,包括在基板108之上表面上沉積材料。處理腔室100大體包括一陣列輻射加熱燈102,輻射加熱燈102用於加熱除其他組件之外安置於處理腔室100內之基板支撐件107的背面104。基板支撐件107可為如圖所示之環狀基板支撐件(從基板之邊緣支撐基板)、碟狀或盤狀基板支撐件或複數個銷(例如三個銷)。基板支撐件107位於處理腔室100內上圓頂128與下圓頂114之間。可將基板108(未按比例繪製)經由加載埠103引入處理腔室100中且定位於基板支撐件107上。
圖示之基板支撐件107在上升處理位置中,但藉由致動器(未圖示)基板支撐件可垂直地移動至處理位置下之加載位置處,以允許升舉銷105穿過基板支撐件107中的孔接觸下圓頂114,及將基板108從基板支撐件107舉起。機器人(未圖示)可隨後進入處理腔室100,以經由加載埠103 從處理腔室100嚙合及移出基板108。基板支撐件107隨後可經致動上升至處理位置,以將基板108置放至基板支撐件107的正面110上,其中基板108之元件側116向上。
基板支撐件107在支撐基板104處於處理位置中的同時,將處理腔室100之內部體積分為處理氣體區域156(位於基板上方)及淨化氣體區域158(位於基板支撐件107下方)。基板支撐件107在處理期間藉由中心軸132旋轉,以最小化處理腔室100內熱氣流及處理氣流空間異常的影響,且因此促進基板108之均勻處理。基板支撐件107由中心軸132支撐,中心軸132在加載及卸載及基板108之處理(在一些情況下)期間在上下方向134上移動基板。基板支撐件107通常由具有低熱質量或低熱容量之材料形成,以便最小化由基板支撐件107吸收及發射之能量。基板支撐件107可由碳化矽或塗覆有碳化矽之石墨形成,以吸收來自燈102之輻射能及將該輻射能傳導至基板108。第1圖將基板支撐件107圖示為具有中央開口之環,以促進將基板曝露於來自燈102之熱輻射。基板支撐件107亦可為無中央開口之盤狀構件。
大體上,上圓頂128及下圓頂114通常係由諸如石英之光學透明材料形成。上圓頂128及下圓頂114為薄的以最小化熱記憶,上圓頂128及下圓頂114通常具有約3mm與約10mm之間之厚度,例如約4mm。藉由將熱控制流體(諸如冷卻氣體)經由入口埠126引入熱控制空間136,及經由排放出口130排出該熱控制流體可熱控制上圓頂128。在一些實施例中,循環穿過熱控制空間136之冷卻流體可減少上圓頂 128之內表面上的沉積。
可以指定、最佳之所要的方式圍繞中心軸132將一或更多個燈(諸如一陣列燈102)安置於鄰近下圓頂114及下圓頂114下方,以在處理氣體通過時加熱基板108,進而促進材料在基板108之上表面上的沉積。在多種實例中,沉積在基板108上之材料可為第III族、第IV族及/或第V族材料,或可為包括第III族、第IV族及/或第V族摻雜劑之材料。
燈102可經調適以將基板108加熱至約200攝氏度至約1200攝氏度(例如從約300攝氏度至約950攝氏度)之範圍內的溫度。燈102可包括由可選反射體143圍繞的燈泡141。各燈102耦接至配電板(未圖示),經由該配電板將功率供給至各燈102。燈102定位於燈罩(lamphead)145內,在處理期間或之後,可藉由例如引入至位於燈102之間之通道149中的冷卻流體冷卻燈罩145。燈罩145以熱傳導方式冷卻下圓頂114,部分係由於燈罩145非常接近下圓頂114。燈罩145亦可冷卻燈壁及反射體143之壁。若需要,燈罩145可與或可不與下圓頂114接觸。
可視需要將圓形屏蔽167安置於基板支撐件107的周圍,且耦接至腔室主體101之側壁。屏蔽167除為處理氣體提供預加熱區域之外,亦防止或最小化熱/光雜訊從燈102滲漏至基板108之元件側116。屏蔽167可由CVD SiC塗覆之燒結石墨、生長SiC或耐處理及清潔氣體之化學分解的類似不透明材料製成。
可視需要將反射體122置放在上圓頂128之外部, 以將自基板108輻射之紅外光反射回基板108上。由於所反射之紅外光,藉由含有原本會排出處理腔室100之熱量改良加熱之效率。反射體122可由金屬(諸如鋁或不銹鋼)製成。反射體122可具有機加工通道(未圖示)以載運用於冷卻反射體122之流體(諸如水)流。若需要,藉由使用高反射塗層(諸如黃金)塗覆反射體區域,可改良反射效率。
複數個熱輻射感測器140(可為高溫計)安置在燈罩145中用於量測基板108之熱發射。感測器140通常安置於燈罩145中的不同位置處,以促進在處理期間檢視基板108之不同位置。從基板108之不同位置感測熱輻射促進比較基板108之不同位置處的熱能含量(例如溫度),以決定是否存在溫度異常或非均勻性。該非均勻性可能導致膜形成(諸如厚度及組分)的非均勻性。至少使用兩個感測器140,但可使用超過兩個感測器。不同的實施例可使用三個、四個、五個、六個、七個或七個以上的感測器140。
各感測器140檢視基板108之一區域,且感測該基板之一區域的熱態。在一些實施例中,該等區域可經徑向定向。例如,在旋轉基板108之實施例中,感測器140可檢視或界定基板108之中央部分中之中央區域,該中央區域具有實質上與基板108之中心相同之中心,其中一或更多個區域圍繞該中央區域且與該中央區域同心。然而,該等區域係同心的及經徑向定向並非必須。在一些實施例中,可將區域以非徑向之方式佈置在基板108之不同的位置處。
感測器140通常安置在燈102之間(例如在通道149 中),且感測器通常實質上經定向垂直於基板108。在一些實施例中,感測器140經定向垂直於基板108,然而在其他實施例中,感測器140可經定向輕微地偏離常態。最常使用的為與法線成約5°以內之角度的方向。
感測器140可經調諧至同一波長或光譜,或調諧至不同波長或光譜。例如,在處理腔室100中使用的基板在組成上可為均質,或彼等基板可具有不同組份之區域。使用調諧至不同波長之感測器140可允許監控具有不同組份及對熱能之發射回應不同的基板區域。通常,感測器140經調諧至紅外波長,例如約4μm。
頂端熱感測器118可安置在反射體122中,以監控上圓頂128之熱態(若需要),或從與感測器140對立之視角來監控基板108之熱態。該監控可用於比較從感測器140接收之資料,例如以決定從感測器140接收之資料是否存在錯誤。頂端熱感測器118在某些情況下可為多個感測器之總成,具有一個以上的個體感測器。因此,處理腔室100可具有一或更多個感測器經安置以接收從基板之第一側發射之輻射,及具有一或更多個感測器經安置以接收來自與第一側對立之基板之第二側的輻射。
控制器160從感測器140接收資料,且基於該資料單獨調整輸送至各燈102或個別燈組或燈區域之功率。控制器160可包括電源162,電源162獨立地為多個燈及燈區域供電。控制器160可經設置具有所要的溫度分佈,且基於比較從感測器140接收之資料設置;控制器160調整燈及/或燈區 域之功率,以使所觀察之熱資料符合所要的溫度分佈。控制器160亦可調整燈及/或燈區域之功率以在腔室效能隨時間浮動的情況下使一個基板之熱處理符合另一基板之熱處理。
第2圖為根據本文描述之一實施例形成之元件200的示意結構。第3圖為流程圖,該圖描繪根據本文描述之實施例使用異質磊晶沉積形成結構(例如第2圖之元件200)之方法300。方法300以方塊310處將基板210提供至反應腔室開始。該基板可為如第2圖中所描繪的基板210。該基板可為上面可形成磊晶層之任何基板。此等基板可包括例如:基板晶圓,該基板晶圓由藍寶石(Al2O3)、矽(Si)(經摻雜或未經摻雜)、晶態矽(例如Si<100>或Si<111>)、氧化矽、拉伸矽、矽鍺、經摻雜或未經摻雜之多晶矽、碳化矽(SiC)、尖晶石、氧化鋅;以及合成半導體基板,諸如砷化鎵(GaAs)、鎵酸鋰、磷化銦(InP)、單晶GaN、氮化鋁(AlN)、GdScO3(GSO)、MoSe2、Ge2Sb2Te5(GST)及其他硫屬化物材料;圖案化或非圖案化基板以及其他基板。
在方塊320處,可將基板曝露於預處理製程。預處理製程可能涉及將基板曝露於以下步驟之至少一者:清潔、研磨、蝕刻、還原、氧化、羥基化、退火及/或烘焙基板表面。在預處理製程為預清潔製程之實施例中,該預清潔製程可用於將原生氧化物從基板之表面移除。在處理群集工具場外執行基板處理的一些實施例中,基板在進入群集工具時可能經受預清潔及/或除氣製程。例如,若基板為矽基板,可在基板上執行應用材料公司SICONITM預清潔製程,用於將氧化物從 矽基板移除。SICONITM預清潔製程經由低溫、兩部分乾燥化學清潔製程,使用NF3及NH3移除原生氧化物。可在定位於群集工具(例如群集工具700(見第7圖))上之處理腔室中執行預清潔製程。示例性預清潔腔室(可在該腔室中執行方塊320之預清潔製程)包括可購自加利福尼亞州聖克拉拉市應用材料公司的SICONITM預清潔腔室。
在一些實施例中,在兩部分乾燥化學清潔製程中可將基板曝露於含氟前驅物及含氫前驅物。在一些實施例中,含氟前驅物可包含三氟化氮(NF3)、氟化氫(HF)、雙原子氟(F2)、單原子氟(F)及氟取代烴、以上各者之組合或類似前驅物。在一些實施例中,含氫前驅物可包含氫原子(H)、雙原子氫(H2)、氨(NH3)、烴、不完全鹵素取代烴、以上各者之組或或類似前驅物。
在一些實施例中,兩部分製程之第一部分可包含使用遠端電漿源從含氟前驅物(例如三氟化氮(NF3))及含氫前驅物(例如氨(NH3))產生蝕刻劑物質(例如氟化銨(NH4F))。藉由使用遠端電漿源,可最小化對基板的損壞。隨後將蝕刻劑物質引入預清潔腔室,且經由與原生氧化物層反應,在基板表面上縮合為固體副產物。然後第二部分可包含原位退火,以使用對流及輻射加熱分解副產物。副產物隨後昇華,且可經由氣體流從基板表面將該副產物移除,且將該副產物泵送出預清潔腔室。
在預處理製程為高溫烘焙製程的一些實施例中,可將基板曝露於含氫環境中的高溫下,以將原生氧化物從基板 表面移除。
在步驟330處,在基板之表面上沉積緩衝層。緩衝層可為如第2圖中所描述之緩衝層220。緩衝層220可包含有助於容受基板210與活性材料層240之間之晶格失配的任何材料。緩衝層220可為任何結晶膜,該結晶膜具有與待在該結晶膜上形成之結晶膜類似之晶格結構。緩衝層可包含第III-V族材料或第IV族材料。緩衝層可為二元膜、三元膜或四元膜。示例性緩衝層包括但不限於:GaN、AlN、AlGaN、InGaN、InAlGaN、GaAs、InxAl1-xAs、InxGa1-xAs、InAs、Ge、Si1-xGex、SiC、Si:C、Si:CP、SiGe:C、SiGe:B、GeSn、GaSb、GaP、InP、AlSb、AlP、AlSbP、MoSe2、Ge(1-x)Snx、Si(1-x-y)GexSny及以上各者之組合,且示例性緩衝層可取決於應用不摻雜或摻雜N型或P型摻雜劑元素,或為獲得額外性質(例如絕緣)改質該示例性緩衝層,其中0<x,y<1且0<x+y<1。在一些實施例中,緩衝層為從由以下構成之群組中選擇之材料:AlN、AlGaN、InGaN、InAlGaN、GaAs、InAlAs、Si、Ge、C、Sn、SiGe、SiC、GaSb、AlSb、GaP、AlP、InP、InSb、ZnO、WSe2、MoSe2、Ge(1-x)Snx、Si(1-x-y)GexSny及以上各者之組合,其中0<x,y<1且0<x+y<1。
可使用任何適當的沉積技術沉積緩衝層220。適當的沉積技術包括:磊晶沉積製程、金屬有機物化學氣相沉積(MOCVD)製程、氫化物氣相磊晶(HVPE)製程、物理氣相沉積(PVD)製程、化學氣相沉積(CVD)製程、原子層沉積(ALD)製程、原子層磊晶(ALE)及/或任何適當的製 程。
剛沉積之緩衝層220可具有一或更多層,該等層之厚度為約50Å至約5,000Å,該厚度取決於材料系統及應用。剛沉積之緩衝層220之厚度可為約100Å至約3,000Å。剛沉積之緩衝層220之厚度可為約500Å至約1,000Å。
在緩衝層為矽鍺(SiGe)層之一些實施例中,可磊晶生長該矽鍺層。在此沉積製程期間,矽前驅物(例如二氯矽烷)同時流動至具有載氣(例如H2及/或N2)及鍺源(例如GeH4)之處理腔室中。矽前驅物之流動速率可在從約5sccm至約1,000sccm之範圍內。矽前驅物之流動速率可在從約100sccm至約500sccm之範圍內。載氣之流動速率可在從約1,000sccm至約60,000sccm之範圍內。載氣之流動速率可在從約10,000sccm至約20,000sccm之範圍內。鍺源之流動速率可在從約10sccm至約200sccm之範圍內。鍺源之流動速率可在從約50sccm至約100sccm之範圍內。可將處理腔室之壓力維持在約0.1托至約200托(例如約10托至約50托;約20托)。可將基板之溫度保持在約400攝氏度至約1,000攝氏度(例如約500攝氏度至約600攝氏度)之範圍內。試劑混合物經熱驅動以反應且磊晶沉積矽化合物(即矽鍺膜)在基板上。進行該製程以形成SiGe層,該SiGe層之厚度在約100Å至約3,000Å之範圍內。沉積速率可在約50Å/分鐘與約600Å/分鐘之間(例如在約100Å/分鐘與約300Å/分鐘之間;約150Å/分鐘)。鍺濃度在從SiGe化合物之約1原子百分比至約75原子百分比(例如從約50原子百分比至約70原子百 分比,約65原子百分比)之範圍內。
通常將矽源以約40sccm至約1,000sccm(例如約200sccm至約800sccm;約500sccm至約600sccm)之範圍內的速率提供至處理腔室中。可用於沉積應力鬆弛緩衝層之矽源包括矽烷、鹵化矽烷、有機矽烷或以上各者之衍生物。矽烷包括矽烷(SiH4)或具有實驗式SiaH(2a+2)之更高的矽烷,諸如二矽烷(Si2H6)、三矽烷(Si3H8)及四矽烷(Si4H10),以及其他矽烷。鹵化矽烷包括具有實驗式X'bSiaH(2a+2b)(其中,從F、Cl、Br或I中獨立地選擇X')之化合物,諸如六氯二矽烷(Si2Cl6)、四氯化矽(SiCl4)、三氯矽烷(Cl3SiH)、二氯矽烷(Cl2SiH2)及氯矽烷(ClSiH3)。有機矽烷包括具有實驗式RbSiaH(2a+2b)(其中從以下獨立地選擇R:甲基、乙基、丙基或丁基)之化合物,諸如甲矽烷((CH3)SiH3)、二甲基矽烷((CH3)2SiH2)、乙矽烷((CH3CH2)SiH3)、甲基二矽烷((CH3)Si2H5)、二甲基二矽烷((CH3)2Si2H4)及六甲基二矽烷((CH3)6Si2)。在一些實施例中,矽源包括矽烷、二氯矽烷及二矽烷。
可以約50sccm至約500sccm(例如約80sccm至約200sccm;約90sccm至約150sccm;約100sccm)的速率提供鍺源氣體。鍺源氣體可包括以下之一或更多者:鍺烷(GeH4)、更高之鍺烷或氯化鍺衍生物,諸如二氯化鍺(GeCl2)、四氯化鍺(GeCl4)或二氯鍺烷(Cl2GeH2)。更高的鍺烷包括具有實驗式GexH(2x+2)之化合物,諸如二鍺烷(Ge2H6)、三鍺烷(Ge3H8)及四鍺烷(Ge4H10)以及其他 化合物。
通常將載氣以約1slm至約100slm(例如約5slm至約80slm;約10slm至約40slm;約20slm)範圍內之流動速率提供至處理腔室中。載氣可包括氮氣(N2)、氫氣(H2)、氬氣、氦氣或以上各者之組合。在一實施例中,使用惰性載氣,且該惰性載氣包括氮氣、氬氣、氦氣或以上各者之組合。可基於所使用之前驅物及/或沉積製程之製程溫度選擇載氣。
在緩衝層之沉積之後,可淨化進行沉積之腔室,以移除未反應之前驅物氣體及污染物。在一些實施例中,藉由在繼續流動載氣的同時停止矽及鍺前驅物之流動來淨化處理腔室。藉由在基板處理腔室中流動淨化氣體可有效地淨化處理腔室。替代地,或除引入淨化氣體之外,可減壓處理腔室,以便將任何剩餘之前驅物氣體以及任何副產物從處理腔室移除。藉由排空基板處理腔室可淨化該基板處理腔室。淨化製程之時間段應大體足夠久以將任何剩餘前驅物氣體從基板處理腔室移除。
在方塊340處,在緩衝層220之上形成含矽覆蓋層。含矽覆蓋層可為如第2圖中所描繪之含矽覆蓋層230。該含矽覆蓋層應足夠厚,以在隨後之退火製程期間維持下緩衝層之形態。在一些實施例中,含矽覆蓋層之厚度可為約10Å至約200Å。在一些實施例中,含矽覆蓋層之厚度可為約20Å至約80Å。
含矽覆蓋層可經磊晶生長。矽源氣體及載氣可為上述之矽源氣體及載氣之任一者。在一些實施例中,在含矽覆 蓋層之沉積期間,矽前驅物(例如二矽烷)與載氣(例如H2及/或N2)同時流入處理腔室中。在一些實施例中,矽前驅物(例如二矽烷)之流動速率在約5sccm至約500sccm(約50sccm至250sccm;約100sccm至200sccm)之範圍內。載氣之流動速率為約1,000sccm至約60,000sccm(例如約10,000sccm至40,000sccm;從約20,000sccm至40,000sccm)。可將處理腔室之壓力維持在約0.1托至約200托(例如約10托至約50托;約20托)。可將基板之溫度維持在約400攝氏度至約1,000攝氏度(例如約500攝氏度至約600攝氏度)之範圍內。
在方塊350處,若緩衝層/含矽覆蓋層已達到所要的厚度,則方法300進行至方塊360,在方塊360處,緩衝層/含矽覆蓋層曝露於退火製程。若緩衝層未達到所要的厚度,方法300返回至方塊330及方塊340,在方塊330及方塊340處,可將額外的緩衝層/含矽覆蓋層沉積在先前沉積之緩衝層/含矽覆蓋層材料之上。
在方塊360處,將緩衝層曝露於退火製程。退火製程之條件通常經選擇,使得將緩衝層加熱至低於緩衝層之熔點的溫度,但該溫度足夠高以允許摻雜劑(若存在)之擴散及晶格原子之重排,以鬆弛緩衝層220。示例性退火製程包括浸沒退火、尖峰退火、奈秒退火、毫秒退火、鐳射退火及以上各者之組合。在一些實施例中,在未顯著增加下層基板210之溫度的情況下退火緩衝層220,因此允許緩衝層之快速冷卻。其中可執行快速加熱製程之示例性熱處理系統包括均可 購自加利福尼亞州聖克拉拉市之應用材料公司的RADIANCE® RTP系統、RadiancePlusTM RTP系統、ASTRA® DSA(動態表面退火)及VULCANTM RTP系統。
可在與方塊330及方塊340之至少一者之沉積製程的同一腔室中執行退火製程。例如,可在第1圖描繪之磊晶處理腔室100中執行退火製程及沉積製程。可在與用於方塊330之製程之處理腔室分開的腔室中執行退火製程。在分開的腔室中執行退火製程之實施例中,可將分開的腔室整合至與沉積腔室相同的平臺上。在分開的腔室中執行退火製程之一些實施例中,分開的腔室可定位在整合平臺(在該平臺上定位有沉積腔室)之場外。
退火製程可為足夠引起緩衝層鬆弛之任何高溫熱退火製程。足夠引起緩衝層鬆弛的溫度可取決於(包括但不僅限於)以下因素:所使用之緩衝材料及基板材料、相對於基板材料之相對應力、所使用之退火製程的類型、製程之持續時間、溫度斜升速率及溫度斜降速率。在一些實施例中,退火溫度為約200攝氏度至約2,000攝氏度(例如約650攝氏度至約850攝氏度;約850攝氏度或更高)。在緩衝層220之材料具有低解離溫度之一些實施例中,應非常快速地達到所要的退火溫度,且退火之持續時間應限在相對短的時間(諸如約一秒或更短)或限制在亞微秒持續時間,以保持緩衝層220之表面形態及晶格品質。因此,使用快速熱退火製程或脈衝鐳射退火製程熔融及再結晶緩衝層220可能係有利的。
可在含氫氣之環境中執行退火製程。氫氣可以從約 10,000sccm至約30,000sccm(例如從約15,000sccm至約25,000sccm)之範圍內的流動速率流入腔室中。
儘管未在此詳細討論,在方塊370處,一或更多個元件層及/或活性材料層240可在緩衝層220及含矽覆蓋層230上形成。活性材料層240可包括例如製造所要之半導體元件所需要的P-N接面,該等半導體元件諸如發光二極體(LED)、鐳射二極體(LD)或其他電子應用(諸如電晶體)。可設想,本揭示案之製程適合與更複雜結構連用。該等結構可包括例如使用一或更多個量子井作為活性層,或使用超晶格結構作為基板與元件層之間之晶體過渡之一部分的彼等結構。在一些實施例中,元件層為鍺層。
第4圖為根據本文描述之另一實施例形成之元件的示意結構。第4圖描繪之元件400與第2圖描繪之元件200類似,不同之處在於元件400具有多個緩衝層220A、220B及220C,各緩衝層分別具有相應的含矽覆蓋層230A、230B及230C。應瞭解,儘管第4圖中描繪三層緩衝層/含矽覆蓋層,但可使用任何複數個之緩衝層/矽覆蓋層。使用如方塊330、340及350中上述之技術可沉積緩衝層220A-220C及對應的含矽覆蓋層230A-230C。在沉積該複數個緩衝層及矽覆蓋層之後,緩衝層/矽覆蓋層曝露於如方塊360中所述之退火製程。
實例:
提供以下非限制性實例以進一步說明本文描述之實施例。然而,該等實例不欲包括所有,且不欲限制本文描述之實施例的範疇。
實例#1(比較性實例)
採用Si<100>基板(例如300mm OD)。藉由使晶圓經受1% HF浸漬120秒製備晶圓。將晶圓加載至沉積腔室(EPI CENTURA®腔室)中,且在800℃之氫氣氣氛中烘焙該晶圓60秒,以移除原生氧化物。將載氣氫氣(20,000sccm)流導向基板,且將源化合物添加至載體流。將二氯矽烷(500sccm)及鍺烷(100sccm)添加至20托及530℃下之腔室中。將基板維持在530℃。進行沉積約6分鐘以形成具有65原子百分比之鍺濃度之1,000Å的SiGe膜。在維持載氣流的同時停止鍺烷及二氯矽烷流,以將未反應之矽烷及鍺烷從沉積腔室移除。為退火製程將氫氣流增加至30,000sccm。在850℃溫度下之含氫環境中退火SiGe緩衝層約60秒的時間。
維持載氣流且將沉積腔室之溫度降低至420℃,且以100sccm將鍺烷添加至腔室。進行沉積約30秒以在SiGe緩衝層上形成150埃之鍺膜。在第5A圖中描繪所得結構。
實例#2
採用Si<100>基板(例如300mm OD)。藉由使晶圓經受1% HF浸漬120秒製備晶圓。將晶圓加載至沉積腔室(EPI CENTURA®腔室)中,且在800℃之氫氣氣氛中烘焙該晶圓60秒,以移除原生氧化物。將載氣氫氣(20,000sccm)流導向基板,且將源化合物添加至載體流。將二氯矽烷(500sccm)及鍺烷(100sccm)添加至20托及530℃下之腔室中。將基板維持在530℃。進行沉積約8分鐘以形成具有65原子百分比之鍺濃度之1,000Å的SiGe膜。在維持載氣流的同時 停止鍺烷及二氯矽烷流,以將未反應之二氯矽烷及鍺烷從沉積腔室移除。
將氫氣流增加至25,000sccm。將二矽烷添加至20托及530℃下之腔室中。將基板維持在530℃。進行沉積約30秒,以在SiGe緩衝層上形成25Å的矽覆蓋層。在沉積矽覆蓋層之後,將氫氣流增加至30,000sccm,且在溫度850℃之含氫環境中對SiGe緩衝層及矽覆蓋層進行退火約60秒的時間。
在退火之後,維持氫氣流且將沉積腔室中的溫度增加至850℃,且以100sccm將鍺烷添加至腔室。進行沉積約30秒,以在矽覆蓋層上形成150Å之鍺膜。在第5B圖中描繪所得結構。
實例#3:
採用Si<100>基板(例如300mm OD)。藉由使晶圓經受1% HF浸漬120秒製備晶圓。將晶圓加載至沉積腔室(EPI CENTURA®腔室)中,且在800℃之氫氣氣氛中烘焙該晶圓60秒,以移除原生氧化物。將載氣氫氣(20,000sccm)流導向基板,且將源化合物添加至載體流。將二氯矽烷(500sccm)及鍺烷(100sccm)添加至20托及530℃下之腔室中。將基板維持在530℃。進行沉積約一分鐘,以形成具有65原子百分比之鍺濃度之140Å的SiGe膜。在維持載氣流的同時停止鍺烷及二氯矽烷流,以將未反應之二氯矽烷及鍺烷從沉積腔室移除。
將氫氣流增加至25,000sccm。將二矽烷添加至20托及530℃下之腔室中。將基板維持在530℃。進行沉積約30 秒,以在SiGe緩衝層上形成25Å之矽覆蓋層。在沉積矽覆蓋層之後,再重複SiGe緩衝層及矽覆蓋層之沉積製程七次,以形成多層緩衝結構。在沉積多層緩衝結構之後,將氫氣流增加至30,000sccm,且在溫度850℃之含氫環境中對多層緩衝結構進行退火約60秒的時間。
在退火之後,維持氫氣流且將沉積腔室中的溫度增加至850℃,且以100sccm將鍺烷添加至腔室。進行沉積約30秒,以在矽覆蓋層上形成150Å之鍺膜。在第5C圖中描繪所得結構。
第5A圖至第5C圖描繪根據本文描述之實施例沉積之元件堆疊的SEM照片。第5A圖描繪使用先前技術製程在經退火之SiGe(65%)緩衝層上直接生長之鍺層。在該SiGe緩衝層及鍺層兩者上,可見粗糙度及彎曲兩者。第5B圖描繪根據本文描述之實施例在經退火之[SiGe+矽覆蓋層]上生長鍺層。由於矽之熔點比鍺高,在SiGe(65%)上添加之薄矽覆蓋層傾向於保持形態光滑。如第5B圖中所描繪,與第5A圖之先前技術製程相比表面粗糙度減少,但該粗糙度仍可見。第5C圖描繪在[SiGe(65%)+矽覆蓋層] x 8超晶格上生長之鍺。在SiGe(65%)中添加額外的薄矽覆蓋層及超晶格之形成進一步降低表面粗糙度。如第5C圖中所示,所有的矽覆蓋層在退火之後維持彼等矽覆蓋層之平坦的幾何形狀。因此,第5C圖中描繪之矽覆蓋層更受鄰近SiGe(65%)層之拉伸應力。頂部上生長的鍺對最頂端的矽覆蓋層施加壓縮應力。
第6圖為描繪在第5A圖至第5C圖中描繪之多種膜 之X射線繞射(XRD)日期的曲線600。X軸表示omega-2theta角,該角係由入射X射線及繞射X射線束形成之角。Y軸表示繞射X射線之強度。如第6圖之線640及線650所示,藉由建模可擬合該資料。各線之特定的形狀展示出壓縮應力位準。矽峰值(最高點在0度)與主鍺峰值(在-7000與-9000度之間的峰值)相距越遠,最終鍺層受壓縮應力越大。線610表示第5A圖中最終鍺層之壓縮應力。線620表示第5B圖中最終鍺層之壓縮應力。線630表示第5C圖中最終鍺層之壓縮應力。
第7圖為根據本文描述之另一實施例之群集工具的平面圖。如上所述,群集工具700具有至少一個磊晶沉積腔室。群集工具700之一實例為可購自於加利福尼亞州聖克拉拉市之應用材料公司的CENTURA®系統。亦可使用由其他產商製造之群集工具。任何方便類型的移送機器人704安置在群集工具之移送室702中。具有兩個加載鎖腔室706A、706B之加載鎖706耦接至移送室702。複數個處理腔室708、710、712、714及716亦耦接至移送室702。複數個處理腔室708、710、712、714及716可包括以下之至少一者:預清潔腔室、材料沉積腔室(諸如磊晶沉積腔室)及熱處理腔室(諸如退火、除氣或氧化腔室)。
處理腔室708可為預清潔腔室,該腔室經設置以在沉積緩衝層及/或元件層之前清潔基板。預清潔腔室可經設置以執行應用材料公司的SICONITM預清潔製程。處理腔室710及/或處理腔室714可為材料沉積腔室,諸如能夠執行磊晶生 長製程之磊晶沉積腔室。處理腔室712及/或716可為能夠執行熱處理製程之熱處理腔室。
群集工具700可用於執行如上所述之方法300。在處理期間,待處理之基板可到達艙(未圖示)中之群集工具700。藉由工廠介面機器人(未圖示)可將基板從艙移送至真空相容負載鎖706A、706B。隨後藉由移送室702(移送室702大體保持真空狀態)中之移送機器人704拾取基板。隨後移送機器人704將基板加載至處理腔室708中,以用於如方塊320中所述之清潔。隨後移送機器人704從處理腔室708中拾取基板,且將基板加載至處理腔室710或714(可用的任一者)中用於材料沉積。磊晶緩衝層可在處理腔室710或714中之經清潔的基板上生長。磊晶沉積之含矽層可在與生長磊晶緩衝層相同的處理腔室710或714中在該緩衝層上生長。在一些實施例中,磊晶沉積之含矽層可在與緩衝層生長之處理腔室(例如處理腔室710或714)不同的處理腔室中生長。隨後移送機器人704從處理腔室710或714中拾取基板,且將基板移送至處理腔室712或716(可用的任一者)中用於熱處理。隨後磊晶緩衝層曝露於如方塊360中所描述之退火製程。隨後移送機器人704從處理腔室712或716拾取基板,且將基板移送至處理腔室714用於在緩衝層上沉積活性材料(如方塊370中所描述)。
儘管以上針對本揭示案之實施例,在不脫離本揭示案之基本範疇的情況下,可設計本揭示案之其他及另外的實施例,且本揭示案之範疇由以下申請專利範圍決定。

Claims (19)

  1. 一種在一基板上異質磊晶沉積一應力鬆弛緩衝(SRB)層之方法,該方法包含以下步驟:在一基板上磊晶沉積一緩衝層,其中該緩衝層包含第III-V族材料或第IV族材料中之至少一者;在該緩衝層上磊晶沉積一含矽覆蓋層;以及在200攝氏度至2000攝氏度之一退火溫度下,退火該緩衝層及含矽覆蓋層持續小於60秒之一退火時間,以鬆弛該緩衝層。
  2. 如請求項1所述之方法,該方法進一步包含以下步驟:在該含矽覆蓋層上磊晶沉積一第二緩衝層;以及在該第二緩衝層上磊晶沉積一第二含矽覆蓋層,其中在退火該緩衝層及該含矽覆蓋層之前發生該磊晶沉積一第二緩衝層之步驟及該磊晶沉積一第二含矽覆蓋層之步驟。
  3. 如請求項1所述之方法,該方法進一步包含以下步驟:重複該在一基板上磊晶沉積一緩衝層之步驟以及該在該緩衝層上磊晶沉積一含矽覆蓋層之步驟直至達到一所要的厚度。
  4. 如請求項1所述之方法,該方法進一步包含以下步驟:在該含矽覆蓋層上沉積活性材料。
  5. 如請求項1所述之方法,其中該緩衝層為一二元膜、一 三元膜或一四元膜。
  6. 如請求項1所述之方法,其中該緩衝層為選自以下所構成之群組的一材料:AlN、AlGaN、InGaN、InAlGaN、GaAs、InAlAs、Si、Ge、C、Sn、SiGe、SiC、GaSb、AlSb、GaP、AlP、InP、InSb、Ge(1-x)Snx、Si(1-x-y)GexSny及以上各者之組合,其中0<x,y<1且0<x+y<1。
  7. 如請求項6所述之方法,其中該基板為選自以下所構成之群組的一材料:藍寶石(Al2O3)、摻雜矽(Si)、未經摻雜之矽、碳化矽(SiC)、尖晶石、氧化鋅、砷化鎵(GaAs)、鎵酸鋰、磷化銦(InP)、單晶GaN、氮化鋁(AlN)、GdScO3(GSO)、MoSe2、Ge2Sb2Te5(GST)及以上各者之組合。
  8. 如請求項1所述之方法,其中該磊晶沉積一緩衝層之步驟、該在該緩衝層上磊晶沉積一含矽覆蓋層之步驟及該退火該緩衝層及含矽覆蓋層以鬆弛該緩衝層之步驟發生在相同處理腔室中。
  9. 如請求項1所述之方法,其中該磊晶沉積一緩衝層之步驟及該退火該緩衝層及含矽覆蓋層以鬆弛該緩衝層之步驟發生在分開的處理腔室中。
  10. 一種在一基板上異質磊晶沉積一應力鬆弛緩衝(SRB) 層之方法,該方法包含以下步驟:在一矽基板上磊晶沉積一矽鍺(Si1-xGex)緩衝層,其中0<x<1;在該矽鍺緩衝層上磊晶沉積一含矽覆蓋層;決定該矽鍺緩衝層是否已達到一所要的厚度;以及在200攝氏度至2000攝氏度之一退火溫度下,退火該矽鍺緩衝層及含矽覆蓋層持續小於60秒之一退火時間,以鬆弛該矽鍺緩衝層。
  11. 如請求項10所述之方法,該方法進一步包含:在該含矽覆蓋層上磊晶沉積一第二矽鍺緩衝層;以及在該第二矽鍺緩衝層上磊晶沉積一第二含矽覆蓋層,其中在退火該緩衝層及該含矽覆蓋層之前發生該磊晶沉積一第二矽鍺緩衝層之步驟及該磊晶沉積一第二含矽覆蓋層之步驟。
  12. 如請求項10所述之方法,該方法進一步包含以下步驟:重複該在一矽基板上磊晶沉積一矽鍺緩衝層之步驟以及該在該矽鍺緩衝層上磊晶沉積一含矽覆蓋層之步驟直至達到一所要的厚度。
  13. 如請求項10所述之方法,該方法進一步包含以下步驟:在該含矽覆蓋層上沉積一鍺層。
  14. 如請求項10所述之方法,其中該磊晶沉積一矽鍺緩衝層之步驟、該在該矽鍺緩衝層上磊晶沉積一含矽覆蓋層之步驟及該退火該矽鍺緩衝層及含矽覆蓋層以鬆弛該矽鍺緩衝層之步驟發生在相同處理腔室中。
  15. 如請求項10所述之方法,其中該磊晶沉積一矽鍺緩衝層之步驟及該退火該矽鍺緩衝層及含矽覆蓋層以鬆弛該矽鍺緩衝層之步驟發生在分開的處理腔室中。
  16. 如請求項15所述之方法,其中該等分開的處理腔室定位在一整合平臺上。
  17. 一種在一整合處理系統中在一基板上形成一異質磊晶膜之方法,該方法包含以下步驟:在一整合處理系統之一第一處理腔室中之一基板上磊晶沉積一緩衝層,其中該緩衝層包含第III-V族材料或第IV族材料中之至少一者;在該緩衝層上磊晶沉積一含矽覆蓋層;將該基板移送至該整合處理系統之一第二處理腔室;以及在200攝氏度至2000攝氏度之一退火溫度下,在該第二處理腔室中退火該緩衝層持續小於60秒之一退火時間。
  18. 如請求項17所述之方法,該方法進一步包含以下步驟: 將該基板從該第二處理腔室移出;將該基板移送至該整合處理系統之一第三處理腔室;以及在該緩衝層上沉積一活性材料層。
  19. 如請求項17所述之方法,其中該第二處理腔室選自一毫秒退火腔室、一奈秒退火腔室、一尖峰退火腔室及一浸沒退火腔室。
TW103130843A 2013-09-16 2014-09-05 形成應力鬆弛緩衝層的方法 TWI651767B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201361878376P 2013-09-16 2013-09-16
US61/878,376 2013-09-16

Publications (2)

Publication Number Publication Date
TW201517129A TW201517129A (zh) 2015-05-01
TWI651767B true TWI651767B (zh) 2019-02-21

Family

ID=52666156

Family Applications (1)

Application Number Title Priority Date Filing Date
TW103130843A TWI651767B (zh) 2013-09-16 2014-09-05 形成應力鬆弛緩衝層的方法

Country Status (3)

Country Link
US (1) US9721792B2 (zh)
TW (1) TWI651767B (zh)
WO (1) WO2015038309A1 (zh)

Families Citing this family (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9748120B2 (en) 2013-07-01 2017-08-29 Lam Research Ag Apparatus for liquid treatment of disc-shaped articles and heating system for use in such apparatus
US9443728B2 (en) * 2013-08-16 2016-09-13 Applied Materials, Inc. Accelerated relaxation of strain-relaxed epitaxial buffers by use of integrated or stand-alone thermal processing
TW201517133A (zh) * 2013-10-07 2015-05-01 Applied Materials Inc 使用熱佈植與奈秒退火致使銦鋁鎵氮化物材料系統中摻雜劑的高活化
US9299566B2 (en) * 2014-02-25 2016-03-29 Tsinghua University Method for forming germanium-based layer
US10490426B2 (en) * 2014-08-26 2019-11-26 Lam Research Ag Method and apparatus for processing wafer-shaped articles
US9752224B2 (en) * 2015-08-05 2017-09-05 Applied Materials, Inc. Structure for relaxed SiGe buffers including method and apparatus for forming
JP6124425B1 (ja) * 2015-10-26 2017-05-10 株式会社日本製鋼所 レーザ処理装置整流装置およびレーザ処理装置
US20170179127A1 (en) * 2015-12-18 2017-06-22 Globalfoundries Inc. Semiconductor structure having silicon germanium fins and method of fabricating same
US10424585B2 (en) * 2016-01-21 2019-09-24 International Business Machines Corporation Decoupling capacitor on strain relaxation buffer layer
US9698266B1 (en) 2016-03-09 2017-07-04 International Business Machines Corporation Semiconductor device strain relaxation buffer layer
US9853056B1 (en) 2016-09-02 2017-12-26 International Business Machines Corporation Strained CMOS on strain relaxation buffer substrate
US10629770B2 (en) * 2017-06-30 2020-04-21 Sensor Electronic Technology, Inc. Semiconductor method having annealing of epitaxially grown layers to form semiconductor structure with low dislocation density
US11164737B2 (en) * 2017-08-30 2021-11-02 Applied Materials, Inc. Integrated epitaxy and preclean system
KR20190079787A (ko) * 2017-12-28 2019-07-08 삼성전자주식회사 반도체 발광소자의 제조 방법
JP7348440B2 (ja) * 2018-03-20 2023-09-21 東京エレクトロン株式会社 統合的な半導体処理モジュールを組み込んだ自己認識及び補正異種プラットフォーム及びその使用方法
KR102610826B1 (ko) * 2018-08-14 2023-12-07 주식회사 엘엑스세미콘 에피택셜 웨이퍼 및 그 제조 방법
US11756828B2 (en) * 2018-11-20 2023-09-12 Applied Materials, Inc. Cluster processing system for forming a transition metal material
TWI677108B (zh) * 2019-02-01 2019-11-11 柯文政 凹槽型圖案化基板結構、具高散熱能力的半導體元件、及利用該凹槽型圖案化基板結構製作該具高散熱能力的半導體元件之方法
US11139368B2 (en) 2019-10-01 2021-10-05 HeFeChip Corporation Limited Trench capacitor having improved capacitance and fabrication method thereof
US11515408B2 (en) * 2020-03-02 2022-11-29 Taiwan Semiconductor Manufacturing Company, Ltd. Rough buffer layer for group III-V devices on silicon
KR20210146802A (ko) * 2020-05-26 2021-12-06 에이에스엠 아이피 홀딩 비.브이. 붕소 및 갈륨을 함유한 실리콘 게르마늄 층을 증착하는 방법
CN113539792B (zh) * 2021-07-09 2024-03-01 中国科学院上海微系统与信息技术研究所 全环绕栅极晶体管的制备方法
WO2024064161A1 (en) * 2022-09-21 2024-03-28 Lam Research Corporation Semiconductor stacks and processes thereof
CN116752121B (zh) * 2023-06-15 2024-05-14 拓荆科技(上海)有限公司 一种盖板以及流体气相沉积装置

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6515335B1 (en) * 2002-01-04 2003-02-04 International Business Machines Corporation Method for fabrication of relaxed SiGe buffer layers on silicon-on-insulators and structures containing the same
US7875958B2 (en) * 2006-09-27 2011-01-25 Taiwan Semiconductor Manufacturing Company, Ltd. Quantum tunneling devices and circuits with lattice-mismatched semiconductor structures

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0365875B1 (en) * 1988-10-28 1995-08-09 Texas Instruments Incorporated Capped anneal
US5891769A (en) 1997-04-07 1999-04-06 Motorola, Inc. Method for forming a semiconductor device having a heteroepitaxial layer
US6593625B2 (en) * 2001-06-12 2003-07-15 International Business Machines Corporation Relaxed SiGe layers on Si or silicon-on-insulator substrates by ion implantation and thermal annealing
US7682947B2 (en) 2003-03-13 2010-03-23 Asm America, Inc. Epitaxial semiconductor deposition methods and structures
US7166528B2 (en) 2003-10-10 2007-01-23 Applied Materials, Inc. Methods of selective deposition of heavily doped epitaxial SiGe
US7235492B2 (en) 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
EP1928020B1 (en) * 2006-11-30 2020-04-22 Soitec Method of manufacturing a semiconductor heterostructure
US20090016853A1 (en) * 2007-07-09 2009-01-15 Woo Sik Yoo In-line wafer robotic processing system
US7928468B2 (en) 2008-12-31 2011-04-19 Intel Corporation Buffer structure for semiconductor device and methods of fabrication
EP2251897B1 (en) 2009-05-13 2016-01-06 Siltronic AG A method for producing a wafer comprising a silicon single crystal substrate having a front and a back side and a layer of SiGe deposited on the front side
US8828852B2 (en) * 2009-12-10 2014-09-09 California Institute Of Technology Delta-doping at wafer level for high throughput, high yield fabrication of silicon imaging arrays
US8501600B2 (en) 2010-09-27 2013-08-06 Applied Materials, Inc. Methods for depositing germanium-containing layers

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6515335B1 (en) * 2002-01-04 2003-02-04 International Business Machines Corporation Method for fabrication of relaxed SiGe buffer layers on silicon-on-insulators and structures containing the same
US7875958B2 (en) * 2006-09-27 2011-01-25 Taiwan Semiconductor Manufacturing Company, Ltd. Quantum tunneling devices and circuits with lattice-mismatched semiconductor structures

Also Published As

Publication number Publication date
US9721792B2 (en) 2017-08-01
WO2015038309A1 (en) 2015-03-19
US20150079803A1 (en) 2015-03-19
TW201517129A (zh) 2015-05-01

Similar Documents

Publication Publication Date Title
TWI651767B (zh) 形成應力鬆弛緩衝層的方法
US10615034B2 (en) Pre-clean of silicon germanium for pre-metal contact at source and drain and pre-high K at channel
US11575071B2 (en) Oxygen controlled PVD ALN buffer for GAN-based optoelectronic and electronic devices
JP6507211B2 (ja) Pvdにより形成される窒化アルミニウム緩衝層を有する窒化ガリウムベースのledの製造
US9443728B2 (en) Accelerated relaxation of strain-relaxed epitaxial buffers by use of integrated or stand-alone thermal processing
KR20150014470A (ko) Led 제조를 위한 pvd 버퍼 층들
JP2022105014A (ja) Iiia族窒化物成長システムおよび方法
KR20150007350A (ko) Iii족 질화물 반도체 필름을 증착하는 방법
US20130005118A1 (en) Formation of iii-v materials using mocvd with chlorine cleans operations
US11990332B2 (en) Methods and apparatus for deposition of low-k films
US10439099B2 (en) UV light emitting devices and systems and methods for production
TWI601855B (zh) 沉積氮化鋁層的方法
JP5314233B2 (ja) 制御された導電率を有する半導体材料および半導体デバイスの製造方法
US20210210340A1 (en) Group iii nitride semiconductor substrate and manufacturing method thereof
TWI440076B (zh) 用於腔室分離處理的表面鈍化技術
TW202331789A (zh) 整合式磊晶與預清潔系統

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees