TWI648596B - 用於微影製程的材料 - Google Patents

用於微影製程的材料 Download PDF

Info

Publication number
TWI648596B
TWI648596B TW106130977A TW106130977A TWI648596B TW I648596 B TWI648596 B TW I648596B TW 106130977 A TW106130977 A TW 106130977A TW 106130977 A TW106130977 A TW 106130977A TW I648596 B TWI648596 B TW I648596B
Authority
TW
Taiwan
Prior art keywords
group
groups
carbon
linear
photoresist layer
Prior art date
Application number
TW106130977A
Other languages
English (en)
Other versions
TW201809877A (zh
Inventor
鄭雅玲
張慶裕
王建惟
陳彥豪
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201809877A publication Critical patent/TW201809877A/zh
Application granted granted Critical
Publication of TWI648596B publication Critical patent/TWI648596B/zh

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0035Multiple processes, e.g. applying a further resist layer on an already in a previously step, processed pattern or textured surface
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • G03F7/322Aqueous alkaline compositions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • G03F7/405Treatment with inorganic or organometallic reagents after imagewise removal

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Inorganic Chemistry (AREA)
  • Organic Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Materials For Photolithography (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Manufacturing & Machinery (AREA)

Abstract

本揭露係關於用於微影製程的材料,其包括具有以下之一的化學結構之材料: 其中Rf代表第一間隔基;C代表含碳基;Ar代表含苯基;Re代表第二間隔基;P代表極性基;m代表從1至6的整數;n代表從1至20的整數。

Description

用於微影製程的材料
本揭露係關於半導體裝置的製造方法及用於微影製程的材料。
半導體積體電路(IC)產業在過去幾十年來經歷了快速成長。半導體材料及設計的進步製造了體積更小且更精密的電路。這些進步使得製程及製造相關的技術得以經歷技術性的進展。當最小元件尺寸的縮小,減少圖案崩塌(collapsing)、圖案剝落及厚度損失的挑戰性則會增加。
本揭露涉及半導體裝置的製造方法。此方法包括曝露光阻層至輻射源,並施用(applying)硬化劑至光阻層。因此,在施用硬化劑之後,光阻層的第一部分較光阻層的第二部分具有更高的玻璃轉化溫度(Tg)或更高的機械強度。
製造半導體裝置的另一方法包括曝露光阻層至輻射源,顯影光阻層以形成一特徵,施用硬化劑至上述特徵,其中,硬化劑增加了上述特徵的玻璃轉化溫度,且施用平滑劑(smoothing agent)至上述特徵,其中,平滑劑減少了上述特徵的玻璃轉化溫度。
用於微影製程的材料包括具有分子量範圍約在 150道耳頓至3000道耳頓的材料。此材料包括間隔基(spacer group)Rf、含碳基及反應基,或間隔基Rf、含碳基、極性基及反應基、或間隔基Rf、含苯基、極性基及反應基。
100‧‧‧光阻曝光製程
110‧‧‧基底
120‧‧‧光阻層
120A‧‧‧淺色區
120B‧‧‧深色區
120L‧‧‧硬化頂層
130‧‧‧光源
135‧‧‧輻射束
140‧‧‧光罩
150‧‧‧試劑
160‧‧‧光阻層移除部分
200‧‧‧製造半導體裝置的方法
202、204、206A、206B‧‧‧製造半導體裝置方法的步驟
300‧‧‧半導體裝置
310‧‧‧曝光區
320‧‧‧未曝光區
410‧‧‧硬化劑
510‧‧‧光阻特徵
1000‧‧‧微影製程的方法
1002~1008‧‧‧微影製程方法的步驟
1200‧‧‧光阻特徵
1200S‧‧‧波狀輪廓
1200T‧‧‧平滑輪廓
1300‧‧‧平滑劑
以下將配合所附圖式詳述本揭露之實施例,應注意的是,依照工業上的標準實施,以下圖示並未按照比例繪製,事實上,可能任意的放大或縮小元件的尺寸以便清楚表現出本揭露的特徵。而在說明書及圖式中,除了特別說明外,同樣或類似的元件將以類似的符號表示。
第1圖係本揭露所述實施例中,光阻曝光製程的示意圖。
第2圖係本揭露在各方面實施例中,半導體裝置的製造方法之流程圖。
第3圖及第4A圖係根據第2圖的方法,半導體裝置在各個製造階段的剖面圖。
第4R、4C、4D、4E及4F圖係在一些實施例中,硬化劑的示意圖。
第5、6A及6B圖係根據第2圖的方法,半導體裝置在各個製造階段的剖面圖。
第7圖係本揭露在各方面實施例中,半導體裝置的製造方法之流程圖。
第8A、8B、9A、9B、9C及9D圖係根據第7圖的方法,半導體裝置在各個製造階段的剖面圖。
第10A、10B、10C及10D圖係在一些實施例中,平滑劑的示意圖。
應當理解,以下提供許多不同的實施方法或是例子來實行各種實施例之不同特徵。以下描述具體的元件及其排列的例子以闡述本揭露。當然這些僅是例子且不該以此限定本揭露的範圍。例如,在描述中提及第一個元件形成一第二個元件上時,其可以包括第一個元件與第二個元件直接接觸的實施例,也可以包括有其他元件形成於第一個與第二個元件之間的實施例,其中第一個元件與第二個元件並未直接接觸。此外,在不同實施例中可能使用重複的標號或標示,這些重複僅為了簡單清楚地敘述本揭露,不代表所討論的不同實施例及/或結構之間有特定的關係。
本揭露提供製造半導體裝置的微影方法。微影、浸漬微影、光微影及光學微影等術語可交換地使用於本揭露中。微影係用於諸如製造半導體的微製造製程,以選擇性的移除部分之薄膜或基底。此製程利用光從光罩轉移圖案(例如,幾何圖案)至基底上方的光敏感層(例如,光阻)。光在光敏感層的曝光區中造成化學變化,其可增加或減少曝光區的溶解度。若曝光區溶解度變高,則光敏感層稱為正型光阻。若曝光區溶解度變低,則光敏感層稱為負型光阻。烘烤製程可實行於曝光基底之前或之後,例如後曝光烘烤(post-exposure bake,PEB)製程。顯影製程利用顯影液製造曝光圖案於基底上方,選擇性地移除曝光或未曝光區。接著,一連串的化學處理可雕刻/蝕刻曝光圖案至基底(或材料層),而圖案化的光阻保護基底(或材料層)底層的區域。或者,可實行金屬沉積、離子植入或其他 製程。最後,合適的試劑移除(或去除)剩餘的光阻,且基底為整個製程做好準備,以重覆進行電路製作的下個階段。在複雜的積體電路(例如,先進的CMOS)中,基底可經過數次的微影循環。
第1圖係光阻曝光製程100的說明圖。製程100包括覆蓋光阻層120於基底110上方。在一些實施例中,基底110包括矽。基底110可替換或額外地包括其他合適的半導體材料,例如:鍺(Ge)、鍺化矽(SiGe)、碳化矽(SiC)、砷化鎵(GaAs)、金剛石、砷化銦(InAs)、磷化銦(InP)、矽鍺碳化物(SiGeC)、鎵銦磷化物(GaInP)。基底110也可包括各種特徵,例如:各種摻雜區、淺溝槽隔離區(STI)、源極/汲極特徵、閘極堆疊、介電特徵及/或多層連接(multilevel interconnects)。
接著,光阻層120經過光罩(罩幕或中間罩幕)140,曝露至來自光源130的輻射束135。光罩140具有預定義的圖案。曝光製程將造成光阻圖案,包括多個曝光區(例如:曝光特徵)及多個未曝光區。第1圖顯示深淺不一的光阻層120。區域120A顯示因為阻擋掉光源130而不會產生酸於其中的區域。相反地,區域120B表示因為暴露於光源,從而引起酸產生的化學反應於其中的區域。光源130可為各種光源,包括深紫外線(DUV)光源。在一個實例中,光源130可為極紫外(EUV)光源。在一些實例中,其它光源130可為電子束寫入(e-beam writing)。或者,曝光製程可利用其它諸如離子束、X射線及其它合適曝光能量的輻射束。此外,為了硬化及乾燥光阻層120,可於曝光製程之前實行光阻層120的預烤(pre-bake)。
曝光期間,當光阻層120為正型光阻(即,酸將分解一可被酸分解的聚合物,使得聚合物變得更加親水),則光阻層120的溶解度增加。或者,當光阻層120為負型光阻(即,酸將催化一可被酸催化的交聯聚合物,使得聚合物變得更加疏水),則光阻層120的溶解度降低。或者,光阻層120可受到後曝光烘烤(PEB)製程,接著透過任何合適的製程來顯影,以在光阻層120形成圖案。
接著,可利用顯影液以移除部分的光阻層120。顯影液可依據光阻型態(例如,正型或負型)來移除曝光或未曝光部分。若光阻層120包括負型光阻,則曝光部分不會被顯影液溶解並留在基底上方。若光阻層120為正型光阻,則曝光部分會被正型顯影液溶解,並留下未曝光的部分。若光阻層120為正型光阻且被負型顯影液顯影,則未曝光部分會被溶解,並留下曝光部分。剩餘的曝光部分(或未曝光部分)定義了圖案。
儘管現存的微影方法通常已足以滿足預期的目標,仍然無法在所有方面完全令人滿意。例如,光阻層120包括諸如羥基(例如:-OH)或羧基(例如:-COOH)的鹼性水溶性成分,當被顯影液顯影時,未曝光的圖案會部分溶解。此問題稱為溶脹(swelling),其會造成不佳的線寬變動(line-width-variation)、膜損壞及光阻圖案碰撞(photoresist pattern collision)。本揭露提供具有硬化處理的微影製程以減少不佳的線寬變動、膜損壞及光阻圖案碰撞。
根據本揭露的一些實施例,第2圖顯示製造半導體裝置300的方法200之流程圖。在各個實施例中,本揭露使用重 複的標號及/或字母。除非另有說明,此重複係為了簡化及清晰化,使得重複的標號及/或字母在各個實施例中指出相似的特徵。
請參照第2圖及第3圖,方法200開始於步驟202,沉積諸如光阻層120的光敏感層於基底110上方,例如使用旋轉塗佈技術。接著,方法200繼續至步驟204,曝露光阻層120至輻射源。光阻層120經過具有預定義圖案的光罩(罩幕或中間罩幕)140,曝露至來自光源(例如:光源130)的輻射束(例如:輻射束135)。曝光製程在光阻層120中形成潛在影像(或圖案),包括數個曝光區310及數個未曝光區320。第3圖顯示深淺不一的光阻層120。深色區120B代表曝光區310,引起酸產生的化學反應,而淺色區120A顯示未曝光區320,其阻擋掉光源130,因此不會產生酸。在曝光區310中,輻射束135到達光阻層120,以在曝光區310及未曝光區320之間產生有效的溶解度轉換。
方法200在步驟204之後具有兩條路徑,分別以字尾”A”及”B”表示。這兩條路徑分別於下方討論。請參照第2圖及第4A圖,對於路徑A,方法200繼續至步驟206A,施用具有硬化劑410的硬化處理至光阻層120。硬化處理可包括利用具有硬化劑410的水溶液混合物,於濕製程站或腔室之中實行濕處理。硬化劑410的濃度為水溶液約0.1%至50%的範圍。
硬化處理期間,硬化劑410與光阻層120的頂部反應。具體來說,硬化劑與光阻層120的頂部反應以增加頂部的表面密度、玻璃轉化溫度(Tg)或機械強度,從而形成硬化頂層120L。因此,硬化頂層120L較直接位於其下方的光阻層第二部 分具有更高的玻璃轉化溫度。
如第4B圖所示,在一個實例中,硬化劑410具有一化學結構,包括至少一個第一間隔基Rf1於括號之間。第一間隔基Rf1可包括具有碳鏈1~12的芳族碳環、直鏈或環狀的烷基、烷氧基、氟烷基,氟烷氧基、烯、炔、羥基、酮、醛、碳酸酯、羧酸、酯、醚、醯胺、胺、亞胺、醯亞胺、疊氮化物、硝酸鹽、腈、亞硝酸鹽或硫醇間隔基。第一間隔基Rf1連接至極性基(P)y,在此y係至少為2的整數。極性基P可包括:-Cl、-Br、-I、-NO2、-SO3-、-H-、-CN、-NCO、-OCN、-CO2-、-OH、-OR*、-OC(O)CR*、-SR、-SO2N(R*)2、-SO2R*、SOR、-OC(O)R*、-C(O)OR*、-C(O)R*、-Si(OR*)3、-Si(R*)3、環氧基,在此,R*係H、一非支鏈或支鏈、環狀或非環狀的飽和或不飽和的烷基或烯基或炔基。其中,硬化劑較佳為NHR1R2,R1/R2包括H、烷基、炔、烷基、烷氧基、氟烷基、氟烷氧基、烯、炔、羥基、酮、醛、碳酸酯、羧酸、酯、醚、醯胺、胺、亞胺、醯亞胺、疊氮化物、硝酸鹽、腈或亞硝酸鹽。
如第4C圖所示,在另一個實例中,硬化劑410具有另一化學結構,包括第一間隔基Rf連接至連接基(L)z於括號之間,在此z係至少為2的整數。連接基L可包括:-NH2、-OH、-SH、-COOH、-COH、-COOR、OCOR、COR、酸酐、環氧基、en基、R’OR、R’OOR、R’OSOOR、RX,在此,R*係H、一非支鏈或支鏈、環狀或非環狀的飽和或不飽和的烷基或烯基或炔基。X係鹵化物。
硬化劑也可包括介面活性劑。在一些實施例中, 介面活性劑的濃度為水溶液的約0.1%至10%。
硬化劑410也可包括溶劑或水溶液。在一些實施例中,硬化劑410的濃度為溶劑的約0.1%至50%。
如第4D-4F圖分別所示,硬化劑410的特定實例可包括,但不限定於蒽-1,8-二羧酸、二乙醇胺、丙酮-1,3-二羧酸或乙二胺。硬化劑410的其他形式可根據本文所述的原理使用。
在一些實施例中,硬化劑410的極性基(P)y被吸收或反應至光阻層120頂部的可顯影之官能基(例如:-OH或-COOH),以形成硬化外層120L。在一些實施例中,硬化頂層120L藉由硬化劑410與光阻層120頂部之間的內分子作用力來形成,例如:凡得瓦力、氫鍵結、電子力、離子力。舉例來說,當極性基(P)y包含羥基而光阻層120表面包含羧基時,由於彼此之間的氫鍵很強,羥基單元傾向於被羧基吸收。
在一些實施例中,硬化頂層120L透過共價鍵結來形成例如烷化、縮合、羧化、酯化及/或醯胺化反應。舉例來說,當連接基(L)z包含羥基而光阻層120表面包含酚基時,由於彼此之間的氫鍵很強,羥基單元傾向於與酚基反應,以在硬化劑410與光阻層120的外層之間形成共價鍵。
請參照第2圖及第5圖,持續沿著方法200的路徑A繼續至步驟208A,顯影具有硬化頂層120L的光阻層120,以形成光阻特徵510。利用顯影液以移除部分光阻層120。顯影液的實例為四甲基氫氧化銨(TMAH)。可利用任何濃度梯度的TMAH顯影液,例如約2.38%的TMAH顯影液。顯影液可依據光阻型態來移除曝光或未曝光部分。例如,若光阻層120包括負型光 阻,則曝光部分不會被顯影液溶解,並留下基底110。若光阻層120包括正型光阻,則曝光部分會被顯影液溶解,並留下未曝光部分。接著,半導體裝置300可進行諸如去離子(DI)水清洗的清洗製程。清洗製程可移除剩餘的粒子。此外,在顯影光阻層120之前,實行後曝光烘烤(PEB)製程。
顯影製程期間,硬化頂層120L減緩了顯影液(例如TMAH)攻擊光阻層120。由於硬化頂層120L減緩了光阻層120的顯影,形成光阻特徵510期間,較少膜損失且較少線寬變動發生。
如上述討論,方法200在步驟204之後具有兩條路徑,分別以字尾”A”及”B”表示。接著輪到路徑B,請參照第2圖及第6A-6B圖,方法200繼續至步驟206B,利用具有硬化劑410的原位硬化處理來顯影光阻層120,以形成光阻特徵510。原位硬化處理可包括藉由諸如摻合(blending)的方式將硬化劑410併入顯影液中。也就是說,於路徑B中,在顯影光阻層120之前,不會單獨地施用硬化劑410。而是,硬化劑410為施用至光阻層420的顯影液之一部分。
顯影製程期間,硬化劑410被光阻層120吸收及/或與光阻層120反應,其在許多方面相似於上述關於第4圖的討論。由於硬化劑410具有多極性基P,其會被光阻層120頂層的官能基(例如:-OH或-COOH)吸收且/或與官能基反應。藉由促使光阻層120表面與顯影液之間具有較低的親和力,硬化劑410減緩了顯影液(例如:TMAH)於光阻層120上方的攻擊。其結果增加了光阻特徵510的機械強度,並因此減緩了光阻特徵510的 崩塌。
可以實行額外的步驟於方法200之前、期間或之後,且在方法200的其他實施例中,一些上述步驟可被取代或移除。例如,在路徑A中,於步驟206A之後及步驟208A之前實行硬化製程。硬化製程可包括紫外線(UV)硬化、電漿硬化、輻射硬化、烘烤或其他合適的製程。
第7圖係製造半導體裝置300的另一微影製程的方法1000之流程圖。在各個實施例中,本揭露使用重複的標號及/或字母。除非另有說明,此重複係為了簡化及清晰化,使得重複的標號及/或字母在各個實施例中指出相似的特徵。方法1000開始於步驟1002及1004,其相似於方法200之步驟202及204。為了簡化及清晰化,上述關於步驟202及204的描述分別適用於步驟1002及1004,且在此將不會再重複說明。
接下來,請參照第7圖及第8A圖,方法1000繼續至步驟1006,顯影光阻層120以形成光阻特徵1200。顯影製程在許多方面相似於上述關於第5圖的討論。如上述討論,光阻層120通常包括一些諸如羥基(例如:-OH)或羧基(例如:-COOH)的鹼性水溶性成分。在顯影製程期間,這些鹼性水溶性成分有時會造成圖案的未曝光部分被顯影液部分溶解(或被顯影液溶脹(swell))。如第8圖所示,光阻層120未曝光部分意外的部分溶解(或溶脹),導致光阻特徵1200具有波狀輪廓/側壁1200S。本揭露提供具有可調整的平滑製程,以減少光阻特徵1200波狀輪廓的程度。
請參照第7圖及第9A-9B圖,方法1000繼續至步驟 1008,施用可調整的平滑製程至光阻特徵1200以減少波狀輪廓的程度。可調整的平滑製程包括施用硬化劑410及平滑劑1300至光阻特徵1200。在本實施例中,選擇平滑劑1300來減少光阻層120的玻璃轉化溫度(Tg),以軟化光阻層120。此軟化製程撫平了側壁輪廓1200S。另一方面,硬化劑410增加了光阻層120的玻璃轉化溫度(Tg)或機械強度來硬化光阻層120,以防止光阻特徵1200崩塌。
如第9B圖所示,考慮到光阻特徵1200諸如臨界尺寸及長寬比之特徵,選擇平滑劑1300與硬化劑410之間適當的平衡,來達成減少波狀側壁輪廓的程度,以製造不致光阻特徵1200崩塌的平滑輪廓1200T。在本實施例中,平滑劑1300的分子量約在150道耳頓至3000道耳頓的範圍。
在一些實施例中,如第9A圖所示,硬化劑410與平滑劑1300同時施用至光阻特徵1200,例如將它們混合在一起。由於硬化劑410的高極性官能基P對光阻層120表面具有高親和力,故其傾向於先吸收至光阻層120。在一些實施例中,如第9C-9D圖所示,分別施用硬化劑410與平滑劑1300,使得硬化劑410先施用至光阻特徵1200,接著再施用平滑劑1300。然後,在可調整的平滑製程期間,原位移除硬化劑410及平滑劑1300。
如第10A圖所示,平滑劑1300的基本結構包括:第二間隔基Rf2連接至含碳基(C)m於括號之間。第二間隔基Rf2包括:具有碳鏈1~4的芳族碳環、直鏈或環狀的烷基/烷氧基/氟烷基/氟烷氧基鏈,或是具有碳鏈1~4的直鏈或環狀的烯、炔、羥基、酮、醛、碳酸酯、羧酸、酯、醚、醯胺、胺、亞胺、醯亞 胺、疊氮化物、腈,或是、-SO3-、-CO2-。在此,m及n係兩個整數。在一些實施例中,m係1至6及n係1至20。第10A圖所示結構如下:
請再次參照第10A圖,含碳基(C)m連接至反應基Re。反應基Re包括:H、OH、鹵化物、或是具有碳鏈5~12的芳族碳環、具有碳鏈1~12直鏈或環狀的烷基、烷氧基、氟烷基,氟烷氧基、烯基、炔基、羥基、醛基、羧基、醯胺基、胺基、亞胺基、醯亞胺基、疊氮基、腈基或硫醇基。
第二間隔基Rf2及反應基Re也可包括:-Cl、-Br、-I、-NO2、-SO3-、-H、-CN、-NCO、-OCN、-CO2-、-OH、-OR*、-OC(O)CR*、-SR、-SO2N(R*)2、-SO2R*、SOR、-OC(O)R*、-C(O)OR*、-C(O)R*、-Si(OR*)3、-Si(R*)3、環氧基,在此,R*係H、一非支鏈或支鏈、環狀或非環狀的飽和或不飽和的烷基或烯基或炔基。
如第10B圖所示,平滑劑1300可具有另一化學結構,包括第二間隔基Rf2連接至含苯基Ar於括號之間。Ar基團可包括具有碳數2~16的不飽和烴。Ar基團連接至反應基Re。第10B圖所示結構如下:
如第10C圖所示,平滑劑1300可具有另一化學結構,包括第二間隔基Rf2連接至含碳基(C)m及反應基Re於括號之間。括號中的反應基Re與極性基P連接。含碳基(C)m連接至另外兩個反應基Re。第10C圖所示結構如下:
如第10D圖所示,平滑劑1300可具有另一化學結構,包括第二間隔基Rf2連接至Ar基團及反應基Re於括號之間。括號中的反應基Re連接至兩個極性基P。Ar基團連接至另外兩個反應基Re。可以實行額外的步驟於方法1000之前、期間或之後,且於方法1000的其他實施例中,一些上述步驟可被取代或移除。例如,在步驟1008之後,實行硬化製程至光阻特徵1200以增進平滑效果。硬化製程可包括紫外線(UV)硬化、電漿硬化、輻射硬化、烘烤或其他合適的製程。例如,在步驟1008之後,方法1000的另一步驟可包括實行第二顯影製程以增進平滑效果。第10D圖所示結構如下:
基於上述討論,本揭露提供一種顯影製程的方法。此方法採用實行硬化處理至光阻層,並實行平滑處理至光阻特徵。此方法證明了線寬粗糙度(LWR)、光阻特徵崩塌及膜損壞的減少。可調整的平滑處理實現了光阻特徵側壁輪廓的平滑,也強化了光阻機械的效能。
本揭露涉及半導體裝置的製造方法。此方法包括曝露光阻層至輻射源,並施用硬化劑至光阻層。因此,在施用硬化劑之後,光阻層的第一部分較光阻層的第二部分具有更高的玻璃轉化溫度或更高的機械強度。
製造半導體裝置的另一方法包括曝露光阻層至輻射源,顯影光阻層以形成一特徵,施用硬化劑至上述特徵,其中,硬化劑增加了上述特徵的玻璃轉化溫度,且施用平滑劑至上述特徵,其中,平滑劑減少了上述特徵的玻璃轉化溫度。
用於微影製程的材料包括具有分子量範圍約在150道耳頓至3000道耳頓的材料。此材料包括間隔基Rf、含碳基及反應基,或間隔基Rf、含碳基、極性基及反應基,或間隔基Rf、含苯基、極性基及反應基。
前述內文概述了許多實施例的特徵,使本技術領域中具有通常知識者可以更佳的了解本揭露的各個方面。本技 術領域中具有通常知識者應該可理解,他們可以很容易的以本揭露為基礎來設計或修飾其它製程及結構,並以此達到相同的目的及/或達到與本揭露介紹的實施例相同的優點。本技術領域中具有通常知識者也應該了解這些相等的結構並不會背離本揭露的發明精神與範圍。本揭露可以作各種改變、置換、修改而不會背離本揭露的發明精神與範圍。

Claims (4)

  1. 一種用於微影製程的材料,其中該材料具有以下之化學結構:
    Figure TWI648596B_C0001
    其中:Rf代表第一間隔基,其係選自下列所組成之群組:具有碳鏈1~4的直鏈或環狀的烷基/烷氧基/氟烷基/氟烷氧基鏈、具有碳鏈1~4的直鏈或環狀的烯、炔、酮、碳酸酯、酯、醚、醯胺、胺、亞胺、醯亞胺、疊氮化物、-SO3-、-CO2-;C代表碳;Ar代表苯基團,其中該Ar基團包括具有碳數2~16的不飽和烴;Re代表反應基,其中Re係選自下列所組成之群組:具有碳鏈5~12的芳族碳環、具有碳鏈1~12的直鏈或環狀的烷基、烷氧基、氟烷基,氟烷氧基、烯基、炔基、醛基、羧基、醯胺基、胺基、亞胺基、醯亞胺基、疊氮基、腈基、-Cl、-Br、-I、-NO2、-H、-NCO、-OCN、-OH、-OC(O)CH3、-SH、-SO2NH2、-SO2H、-SOH、-OC(O)H、-C(O)OH、-C(O)H、-Si(OH)3、-SiH3;以及n代表從1至20的整數。
  2. 一種用於微影製程的材料,該材料具有以下之化學結構:
    Figure TWI648596B_C0002
    其中:Rf代表第一間隔基,其係選自下列所組成之群組:具有碳鏈1~4的直鏈或環狀的烷基/烷氧基/氟烷基/氟烷氧基鏈、具有碳鏈1~4的直鏈或環狀的烯、炔、酮、碳酸酯、酯、醚、醯胺、胺、亞胺、醯亞胺、疊氮化物、-SO3-、-CO2-;C代表碳;Re代表反應基,其中Re係選自下列所組成之群組:具有碳鏈5~12的芳族碳環、具有碳鏈1~12的直鏈或環狀的烷基、烷氧基、氟烷基,氟烷氧基、烯基、炔基、醛基、羧基、醯胺基、胺基、亞胺基、醯亞胺基、疊氮基、腈基、-Cl、-Br、-I、-NO2、-H、-NCO、-OCN、-OH、-OC(O)CH3、-SH、-SO2NH2、-SO2H、-SOH、-OC(O)H、-C(O)OH、-C(O)H、-Si(OH)3、-SiH3;P係選自下列所組成之群組:-Cl、-Br、-I、-NO2、-H、-CN、-NCO、-OCN、-OH、-OC(O)CH3、-SH、-SO2NH2、-SO2H、-SOH、-OC(O)H、-C(O)OH、-C(O)H、-Si(OH)3、-SiH3;m代表從1至6的整數;以及n代表從1至20的整數。
  3. 一種用於微影製程的材料,該材料具有以下之化學結構:
    Figure TWI648596B_C0003
    其中:Rf代表第一間隔基,其係選自下列所組成之群組:具有碳鏈1~4的直鏈或環狀的烷基/烷氧基/氟烷基/氟烷氧基鏈、具有碳鏈1~4的直鏈或環狀的烯、炔、酮、碳酸酯、酯、醚、醯胺、胺、亞胺、醯亞胺、疊氮化物、-SO3-、-CO2-;C代表碳;Ar代表苯基團,其中該Ar基團包括具有碳數2~16的不飽和烴;Re代表反應基,其中Re係選自下列所組成之群組:具有碳鏈5~12的芳族碳環、具有碳鏈1~12的直鏈或環狀的烷基、烷氧基、氟烷基,氟烷氧基、烯基、炔基、醛基、羧基、醯胺基、胺基、亞胺基、醯亞胺基、疊氮基、腈基、-Cl、-Br、-I、-NO2、-H、-NCO、-OCN、-OH、-OC(O)CH3、-SH、-SO2NH2、-SO2H、-SOH、-OC(O)H、-C(O)OH、-C(O)H、-Si(OH)3、-SiH3:P係選自下列所組成之群組:-Cl、-Br、-I、-NO2、-H、-CN、-NCO、-OCN、-OH、-OC(O)CH3、-SH、-SO2NH2、-SO2H、-SOH、-OC(O)H、-C(O)OH、-C(O)H、-Si(OH)3、-SiH3;以及n代表從1至20的整數。
  4. 根據申請專利範圍第1-3項中任一項之用於微影製程的材料,其中該材料的分子量為150道耳頓至3000道耳頓。
TW106130977A 2015-07-17 2015-11-18 用於微影製程的材料 TWI648596B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/802,756 2015-07-17
US14/802,756 US10394126B2 (en) 2015-07-17 2015-07-17 Photolithography process and materials

Publications (2)

Publication Number Publication Date
TW201809877A TW201809877A (zh) 2018-03-16
TWI648596B true TWI648596B (zh) 2019-01-21

Family

ID=57775765

Family Applications (2)

Application Number Title Priority Date Filing Date
TW106130977A TWI648596B (zh) 2015-07-17 2015-11-18 用於微影製程的材料
TW104138007A TWI606486B (zh) 2015-07-17 2015-11-18 半導體裝置的製造方法及用於微影製程的材料

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW104138007A TWI606486B (zh) 2015-07-17 2015-11-18 半導體裝置的製造方法及用於微影製程的材料

Country Status (4)

Country Link
US (1) US10394126B2 (zh)
KR (1) KR101829961B1 (zh)
CN (2) CN111474823B (zh)
TW (2) TWI648596B (zh)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10573519B2 (en) 2017-09-08 2020-02-25 Taiwan Semiconductor Manufacturing Co., Ltd. Method for performing a photolithography process
US11106138B2 (en) * 2018-08-14 2021-08-31 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography process and material for negative tone development
DE102019134535B4 (de) * 2019-08-05 2023-09-07 Taiwan Semiconductor Manufacturing Co., Ltd. Materialien für unteren antireflexbelag
US11782345B2 (en) * 2019-08-05 2023-10-10 Taiwan Semiconductor Manufacturing Co., Ltd. Bottom antireflective coating materials

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2420890A1 (en) * 2010-08-20 2012-02-22 Fujifilm Corporation Positive photosensitive resin composition, method for forming cured film, cured film, organic el display device and liquid crystal display device

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE69805559T2 (de) * 1997-02-20 2002-09-26 Matsushita Electric Industrial Co., Ltd. Material zur Herstellung von Feinstrukturen
US8518628B2 (en) 2006-09-22 2013-08-27 Taiwan Semiconductor Manufacturing Company, Ltd. Surface switchable photoresist
KR20100014786A (ko) * 2007-02-16 2010-02-11 다이요 잉키 세이조 가부시키가이샤 경화 피막 패턴 형성용 조성물 및 그것을 이용한 경화 피막 패턴 제조 방법
US8580117B2 (en) 2007-03-20 2013-11-12 Taiwan Semiconductor Manufactuing Company, Ltd. System and method for replacing resist filter to reduce resist filter-induced wafer defects
US20090253081A1 (en) 2008-04-02 2009-10-08 David Abdallah Process for Shrinking Dimensions Between Photoresist Pattern Comprising a Pattern Hardening Step
US8158335B2 (en) 2008-09-15 2012-04-17 Taiwan Semiconductor Manufacturing Company, Ltd. High etch resistant material for double patterning
KR101855504B1 (ko) 2009-07-28 2018-05-08 주식회사 동진쎄미켐 가교성 경화 물질을 포함하는 포토레지스트 조성물
US8216767B2 (en) 2009-09-08 2012-07-10 Taiwan Semiconductor Manufacturing Company, Ltd. Patterning process and chemical amplified photoresist with a photodegradable base
DE102010026490A1 (de) 2010-07-07 2012-01-12 Basf Se Verfahren zur Herstellung von feinstrukturierten Oberflächen
US8323870B2 (en) 2010-11-01 2012-12-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method and photoresist with zipper mechanism
US8647796B2 (en) 2011-07-27 2014-02-11 Taiwan Semiconductor Manufacturing Company, Ltd. Photoactive compound gradient photoresist
US8728715B2 (en) * 2012-01-13 2014-05-20 Funai Electric Co., Ltd. Non-photosensitive siloxane coating for processing hydrophobic photoimageable nozzle plate
WO2013113587A1 (de) * 2012-02-03 2013-08-08 Basf Se Hyperverzweigte polymere zur modifikation der zähigkeit von gehärteten epoxidharz-systemen
US8741551B2 (en) 2012-04-09 2014-06-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method and composition of a dual sensitive resist
JP5856991B2 (ja) * 2012-05-21 2016-02-10 富士フイルム株式会社 化学増幅型レジスト組成物、ネガ型化学増幅型レジスト組成物、それを用いたレジスト膜、レジスト塗布マスクブランクス、フォトマスクの製造方法及びパターン形成方法、並びに、電子デバイスの製造方法
US9213234B2 (en) 2012-06-01 2015-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Photosensitive material and method of lithography
US9851636B2 (en) 2012-07-05 2017-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Materials and methods for improved photoresist performance
US20140017615A1 (en) 2012-07-11 2014-01-16 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for resist coating and developing
US9256133B2 (en) 2012-07-13 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for developing process
JP5953158B2 (ja) 2012-07-26 2016-07-20 富士フイルム株式会社 パターン形成方法及び該方法に使用するための感活性光線性又は感放射線性樹脂組成物
US9028915B2 (en) 2012-09-04 2015-05-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a photoresist layer
US8906595B2 (en) 2012-11-01 2014-12-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method for improving resist pattern peeling
US9012132B2 (en) 2013-01-02 2015-04-21 Taiwan Semiconductor Manufacturing Company, Ltd. Coating material and method for photolithography
US9057960B2 (en) * 2013-02-04 2015-06-16 International Business Machines Corporation Resist performance for the negative tone develop organic development process
US8936903B2 (en) 2013-03-09 2015-01-20 Taiwan Semiconductor Manufacturing Company, Ltd. Photo-resist with floating acid
US9223220B2 (en) 2013-03-12 2015-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Photo resist baking in lithography process
US8932799B2 (en) 2013-03-12 2015-01-13 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist system and method
US9146469B2 (en) 2013-03-14 2015-09-29 Taiwan Semiconductor Manufacturing Company, Ltd. Middle layer composition for trilayer patterning stack
US9563122B2 (en) * 2015-04-28 2017-02-07 International Business Machines Corporation Method to harden photoresist for directed self-assembly processes

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2420890A1 (en) * 2010-08-20 2012-02-22 Fujifilm Corporation Positive photosensitive resin composition, method for forming cured film, cured film, organic el display device and liquid crystal display device

Also Published As

Publication number Publication date
TW201705200A (zh) 2017-02-01
TWI606486B (zh) 2017-11-21
KR20170009689A (ko) 2017-01-25
KR101829961B1 (ko) 2018-02-19
CN111474823A (zh) 2020-07-31
CN111474823B (zh) 2023-03-31
US20170017158A1 (en) 2017-01-19
CN106353969A (zh) 2017-01-25
US10394126B2 (en) 2019-08-27
CN106353969B (zh) 2020-05-08
TW201809877A (zh) 2018-03-16

Similar Documents

Publication Publication Date Title
TWI449084B (zh) 形成電子裝置之方法
TWI476816B (zh) 自我對準間隔之多重圖案化方法
KR100811431B1 (ko) 반도체 소자의 제조 방법
TWI648596B (zh) 用於微影製程的材料
JP6738048B2 (ja) 光架橋基を有する段差基板被覆組成物
JP2001109165A (ja) パターン形成方法
JP2011065136A5 (zh)
JP6997416B2 (ja) 炭素原子間の不飽和結合による光架橋基を有する化合物を含む段差基板被覆組成物
CN103365076A (zh) 感光材料及光刻方法
JP2023072048A (ja) 硬化性官能基を有する化合物を含む段差基板被覆組成物
JPH0792678A (ja) レジスト組成物
KR20110112727A (ko) 더블 패터닝을 이용한 반도체소자의 패턴형성방법
JP7208591B2 (ja) 架橋性化合物を含有する光硬化性段差基板被覆組成物
US20070092843A1 (en) Method to prevent anti-assist feature and side lobe from printing out
Petrillo et al. Resist process applications to improve EUV patterning
CN108231550B (zh) 半导体装置的制作方法
CN112799280A (zh) 硝基苯甲醇磺酸酯化合物作为增速剂在光刻胶中的应用、用于制备光刻胶的组合物
KR960015640B1 (ko) 포토레지스트 패턴 형성방법
KR102235610B1 (ko) 상부 코팅층 형성용 조성물 및 이를 이용한 레지스트 패턴 형성 방법
KR100811404B1 (ko) 이유브이 노광 공정용 위상반전 마스크 및 그 제조 방법
WO2018155377A1 (ja) レジストプロセス用膜形成材料、パターン形成方法及びポリシロキサン
KR20010037049A (ko) 실리레이션을 이용한 리소그라피 방법
KR20190070919A (ko) 레지스트 패턴 피복용 수용액 및 이것을 이용한 패턴형성방법
US20060040216A1 (en) Method of patterning photoresist film
JPH06267934A (ja) 配線パターン形成材料およびパターン形成方法