TWI648596B - Material for lithography - Google Patents

Material for lithography Download PDF

Info

Publication number
TWI648596B
TWI648596B TW106130977A TW106130977A TWI648596B TW I648596 B TWI648596 B TW I648596B TW 106130977 A TW106130977 A TW 106130977A TW 106130977 A TW106130977 A TW 106130977A TW I648596 B TWI648596 B TW I648596B
Authority
TW
Taiwan
Prior art keywords
group
groups
carbon
linear
photoresist layer
Prior art date
Application number
TW106130977A
Other languages
Chinese (zh)
Other versions
TW201809877A (en
Inventor
鄭雅玲
張慶裕
王建惟
陳彥豪
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201809877A publication Critical patent/TW201809877A/en
Application granted granted Critical
Publication of TWI648596B publication Critical patent/TWI648596B/en

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0035Multiple processes, e.g. applying a further resist layer on an already in a previously step, processed pattern or textured surface
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • G03F7/322Aqueous alkaline compositions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • G03F7/405Treatment with inorganic or organometallic reagents after imagewise removal

Landscapes

  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Organic Chemistry (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Materials For Photolithography (AREA)
  • Engineering & Computer Science (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Structural Engineering (AREA)
  • Architecture (AREA)

Abstract

本揭露係關於用於微影製程的材料,其包括具有以下之一的化學結構之材料: 其中Rf代表第一間隔基;C代表含碳基;Ar代表含苯基;Re代表第二間隔基;P代表極性基;m代表從1至6的整數;n代表從1至20的整數。 This disclosure relates to materials used in the lithography process, which include materials having one of the following chemical structures: or or or Where R f represents the first spacer; C represents a carbon-containing group; Ar represents a phenyl-containing group; Re represents a second spacer; P represents a polar group; m represents an integer from 1 to 6; n represents an integer from 1 to 20 .

Description

用於微影製程的材料 Materials for lithography

本揭露係關於半導體裝置的製造方法及用於微影製程的材料。 This disclosure relates to a method for manufacturing a semiconductor device and materials used in a lithography process.

半導體積體電路(IC)產業在過去幾十年來經歷了快速成長。半導體材料及設計的進步製造了體積更小且更精密的電路。這些進步使得製程及製造相關的技術得以經歷技術性的進展。當最小元件尺寸的縮小,減少圖案崩塌(collapsing)、圖案剝落及厚度損失的挑戰性則會增加。 The semiconductor integrated circuit (IC) industry has experienced rapid growth over the past few decades. Advances in semiconductor materials and design have resulted in smaller and more precise circuits. These advances have allowed process and manufacturing-related technologies to undergo technical advances. As the minimum device size shrinks, the challenge of reducing pattern collapsing, pattern spalling, and loss of thickness increases.

本揭露涉及半導體裝置的製造方法。此方法包括曝露光阻層至輻射源,並施用(applying)硬化劑至光阻層。因此,在施用硬化劑之後,光阻層的第一部分較光阻層的第二部分具有更高的玻璃轉化溫度(Tg)或更高的機械強度。 The present disclosure relates to a method of manufacturing a semiconductor device. This method includes exposing the photoresist layer to a radiation source and applying a hardener to the photoresist layer. Therefore, after the hardener is applied, the first portion of the photoresist layer has a higher glass transition temperature (Tg) or higher mechanical strength than the second portion of the photoresist layer.

製造半導體裝置的另一方法包括曝露光阻層至輻射源,顯影光阻層以形成一特徵,施用硬化劑至上述特徵,其中,硬化劑增加了上述特徵的玻璃轉化溫度,且施用平滑劑(smoothing agent)至上述特徵,其中,平滑劑減少了上述特徵的玻璃轉化溫度。 Another method for manufacturing a semiconductor device includes exposing a photoresist layer to a radiation source, developing the photoresist layer to form a feature, applying a hardener to the above feature, wherein the hardener increases the glass transition temperature of the above feature, and applying a smoothing agent ( smoothing agent) to the above feature, wherein the smoothing agent reduces the glass transition temperature of the above feature.

用於微影製程的材料包括具有分子量範圍約在 150道耳頓至3000道耳頓的材料。此材料包括間隔基(spacer group)Rf、含碳基及反應基,或間隔基Rf、含碳基、極性基及反應基、或間隔基Rf、含苯基、極性基及反應基。 Materials used in the lithography process include materials having a molecular weight in the range of about 150 channels to 3,000 channels. This material includes a spacer group R f , a carbon-containing group and a reactive group, or a spacer group R f , a carbon-containing group, a polar group and a reactive group, or a spacer group R f , a phenyl group, a polar group and a reactive group .

100‧‧‧光阻曝光製程 100‧‧‧Photoresist exposure process

110‧‧‧基底 110‧‧‧ substrate

120‧‧‧光阻層 120‧‧‧Photoresistive layer

120A‧‧‧淺色區 120A‧‧‧light-colored area

120B‧‧‧深色區 120B‧‧‧ Dark Area

120L‧‧‧硬化頂層 120L‧‧‧hardened top layer

130‧‧‧光源 130‧‧‧light source

135‧‧‧輻射束 135‧‧‧ radiation beam

140‧‧‧光罩 140‧‧‧Mask

150‧‧‧試劑 150‧‧‧ reagent

160‧‧‧光阻層移除部分 160‧‧‧Photoresist layer removed

200‧‧‧製造半導體裝置的方法 200‧‧‧ Method for manufacturing semiconductor device

202、204、206A、206B‧‧‧製造半導體裝置方法的步驟 202, 204, 206A, 206B ‧‧‧ The steps of a method for manufacturing a semiconductor device

300‧‧‧半導體裝置 300‧‧‧ semiconductor device

310‧‧‧曝光區 310‧‧‧Exposure area

320‧‧‧未曝光區 320‧‧‧Unexposed area

410‧‧‧硬化劑 410‧‧‧hardener

510‧‧‧光阻特徵 510‧‧‧Photoresistance

1000‧‧‧微影製程的方法 1000‧‧‧ Method of lithography process

1002~1008‧‧‧微影製程方法的步驟 1002 ~ 1008‧‧‧lithography process steps

1200‧‧‧光阻特徵 1200‧‧‧Photoresistance characteristics

1200S‧‧‧波狀輪廓 1200S‧‧‧wave contour

1200T‧‧‧平滑輪廓 1200T‧‧‧Smooth contour

1300‧‧‧平滑劑 1300‧‧‧Smoothing agent

以下將配合所附圖式詳述本揭露之實施例,應注意的是,依照工業上的標準實施,以下圖示並未按照比例繪製,事實上,可能任意的放大或縮小元件的尺寸以便清楚表現出本揭露的特徵。而在說明書及圖式中,除了特別說明外,同樣或類似的元件將以類似的符號表示。 The embodiments of the present disclosure will be described in detail below with the accompanying drawings. It should be noted that the following illustrations are not drawn to scale according to industrial standards. In fact, the size of the components may be arbitrarily enlarged or reduced for clarity. Showing the characteristics of this disclosure. In the description and drawings, unless otherwise specified, the same or similar elements will be represented by similar symbols.

第1圖係本揭露所述實施例中,光阻曝光製程的示意圖。 FIG. 1 is a schematic diagram of a photoresist exposure process in the embodiment disclosed in this disclosure.

第2圖係本揭露在各方面實施例中,半導體裝置的製造方法之流程圖。 FIG. 2 is a flowchart of a method for manufacturing a semiconductor device in various embodiments according to the present disclosure.

第3圖及第4A圖係根據第2圖的方法,半導體裝置在各個製造階段的剖面圖。 3 and 4A are cross-sectional views of the semiconductor device at various manufacturing stages according to the method of FIG. 2.

第4R、4C、4D、4E及4F圖係在一些實施例中,硬化劑的示意圖。 Figures 4R, 4C, 4D, 4E, and 4F are schematic views of the hardener in some embodiments.

第5、6A及6B圖係根據第2圖的方法,半導體裝置在各個製造階段的剖面圖。 5, 6A, and 6B are cross-sectional views of a semiconductor device at various manufacturing stages according to the method of FIG. 2.

第7圖係本揭露在各方面實施例中,半導體裝置的製造方法之流程圖。 FIG. 7 is a flowchart of a method for manufacturing a semiconductor device in various embodiments according to the present disclosure.

第8A、8B、9A、9B、9C及9D圖係根據第7圖的方法,半導體裝置在各個製造階段的剖面圖。 8A, 8B, 9A, 9B, 9C, and 9D are cross-sectional views of the semiconductor device at various stages of manufacturing according to the method of FIG. 7.

第10A、10B、10C及10D圖係在一些實施例中,平滑劑的示意圖。 10A, 10B, 10C, and 10D are schematic diagrams of a smoothing agent in some embodiments.

應當理解,以下提供許多不同的實施方法或是例子來實行各種實施例之不同特徵。以下描述具體的元件及其排列的例子以闡述本揭露。當然這些僅是例子且不該以此限定本揭露的範圍。例如,在描述中提及第一個元件形成一第二個元件上時,其可以包括第一個元件與第二個元件直接接觸的實施例,也可以包括有其他元件形成於第一個與第二個元件之間的實施例,其中第一個元件與第二個元件並未直接接觸。此外,在不同實施例中可能使用重複的標號或標示,這些重複僅為了簡單清楚地敘述本揭露,不代表所討論的不同實施例及/或結構之間有特定的關係。 It should be understood that many different implementation methods or examples are provided below to implement different features of various embodiments. Examples of specific elements and their arrangements are described below to illustrate the present disclosure. Of course, these are just examples and should not be used to limit the scope of this disclosure. For example, when it is mentioned in the description that the first element is formed on a second element, it may include an embodiment in which the first element is in direct contact with the second element, or it may include other elements formed on the first and An embodiment between the second elements, wherein the first element is not in direct contact with the second element. In addition, repeated reference numerals or signs may be used in different embodiments. These repetitions are merely for the purpose of simply and clearly describing the present disclosure, and do not represent a specific relationship between the different embodiments and / or structures discussed.

本揭露提供製造半導體裝置的微影方法。微影、浸漬微影、光微影及光學微影等術語可交換地使用於本揭露中。微影係用於諸如製造半導體的微製造製程,以選擇性的移除部分之薄膜或基底。此製程利用光從光罩轉移圖案(例如,幾何圖案)至基底上方的光敏感層(例如,光阻)。光在光敏感層的曝光區中造成化學變化,其可增加或減少曝光區的溶解度。若曝光區溶解度變高,則光敏感層稱為正型光阻。若曝光區溶解度變低,則光敏感層稱為負型光阻。烘烤製程可實行於曝光基底之前或之後,例如後曝光烘烤(post-exposure bake,PEB)製程。顯影製程利用顯影液製造曝光圖案於基底上方,選擇性地移除曝光或未曝光區。接著,一連串的化學處理可雕刻/蝕刻曝光圖案至基底(或材料層),而圖案化的光阻保護基底(或材料層)底層的區域。或者,可實行金屬沉積、離子植入或其他 製程。最後,合適的試劑移除(或去除)剩餘的光阻,且基底為整個製程做好準備,以重覆進行電路製作的下個階段。在複雜的積體電路(例如,先進的CMOS)中,基底可經過數次的微影循環。 The present disclosure provides a lithographic method for manufacturing a semiconductor device. The terms lithography, immersion lithography, light lithography, and optical lithography are used interchangeably in this disclosure. Lithography is used in microfabrication processes such as semiconductor manufacturing to selectively remove portions of a film or substrate. This process utilizes light to transfer a pattern (eg, a geometric pattern) from a photomask to a light-sensitive layer (eg, a photoresist) over a substrate. Light causes chemical changes in the exposed areas of the light-sensitive layer, which can increase or decrease the solubility of the exposed areas. If the solubility of the exposed area becomes high, the light-sensitive layer is called a positive photoresist. If the solubility of the exposed area becomes low, the photosensitive layer is called a negative photoresist. The baking process may be performed before or after exposing the substrate, such as a post-exposure bake (PEB) process. The developing process uses a developing solution to produce an exposed pattern on the substrate, and selectively removes exposed or unexposed areas. Then, a series of chemical treatments can engrave / etch the exposed pattern to the substrate (or material layer), and the patterned photoresist protects the bottom layer of the substrate (or material layer). Alternatively, metal deposition, ion implantation, or other Process. Finally, a suitable reagent removes (or removes) the remaining photoresist, and the substrate is ready for the entire process to repeat the next stage of circuit fabrication. In complex integrated circuits (eg, advanced CMOS), the substrate can go through several lithographic cycles.

第1圖係光阻曝光製程100的說明圖。製程100包括覆蓋光阻層120於基底110上方。在一些實施例中,基底110包括矽。基底110可替換或額外地包括其他合適的半導體材料,例如:鍺(Ge)、鍺化矽(SiGe)、碳化矽(SiC)、砷化鎵(GaAs)、金剛石、砷化銦(InAs)、磷化銦(InP)、矽鍺碳化物(SiGeC)、鎵銦磷化物(GaInP)。基底110也可包括各種特徵,例如:各種摻雜區、淺溝槽隔離區(STI)、源極/汲極特徵、閘極堆疊、介電特徵及/或多層連接(multilevel interconnects)。 FIG. 1 is an explanatory diagram of a photoresist exposure process 100. The process 100 includes covering the photoresist layer 120 above the substrate 110. In some embodiments, the substrate 110 includes silicon. The substrate 110 may replace or additionally include other suitable semiconductor materials, such as: germanium (Ge), silicon germanium (SiGe), silicon carbide (SiC), gallium arsenide (GaAs), diamond, indium arsenide (InAs), Indium phosphide (InP), silicon germanium carbide (SiGeC), gallium indium phosphide (GaInP). The substrate 110 may also include various features, such as various doped regions, shallow trench isolation regions (STIs), source / drain features, gate stacks, dielectric features, and / or multilevel interconnects.

接著,光阻層120經過光罩(罩幕或中間罩幕)140,曝露至來自光源130的輻射束135。光罩140具有預定義的圖案。曝光製程將造成光阻圖案,包括多個曝光區(例如:曝光特徵)及多個未曝光區。第1圖顯示深淺不一的光阻層120。區域120A顯示因為阻擋掉光源130而不會產生酸於其中的區域。相反地,區域120B表示因為暴露於光源,從而引起酸產生的化學反應於其中的區域。光源130可為各種光源,包括深紫外線(DUV)光源。在一個實例中,光源130可為極紫外(EUV)光源。在一些實例中,其它光源130可為電子束寫入(e-beam writing)。或者,曝光製程可利用其它諸如離子束、X射線及其它合適曝光能量的輻射束。此外,為了硬化及乾燥光阻層120,可於曝光製程之前實行光阻層120的預烤(pre-bake)。 Then, the photoresist layer 120 passes through the photomask (mask or middle mask) 140 and is exposed to the radiation beam 135 from the light source 130. The photomask 140 has a predefined pattern. The exposure process will result in a photoresist pattern, including multiple exposed areas (eg, exposure features) and multiple unexposed areas. FIG. 1 shows the photoresist layer 120 having different shades. The region 120A shows a region in which no acid is generated because the light source 130 is blocked. In contrast, the area 120B indicates an area in which a chemical reaction of acid generation is caused due to exposure to the light source. The light source 130 may be various light sources, including a deep ultraviolet (DUV) light source. In one example, the light source 130 may be an extreme ultraviolet (EUV) light source. In some examples, the other light source 130 may be e-beam writing. Alternatively, the exposure process may utilize other radiation beams such as ion beams, X-rays, and other suitable exposure energies. In addition, in order to harden and dry the photoresist layer 120, pre-bake of the photoresist layer 120 may be performed before the exposure process.

曝光期間,當光阻層120為正型光阻(即,酸將分解一可被酸分解的聚合物,使得聚合物變得更加親水),則光阻層120的溶解度增加。或者,當光阻層120為負型光阻(即,酸將催化一可被酸催化的交聯聚合物,使得聚合物變得更加疏水),則光阻層120的溶解度降低。或者,光阻層120可受到後曝光烘烤(PEB)製程,接著透過任何合適的製程來顯影,以在光阻層120形成圖案。 During exposure, when the photoresist layer 120 is a positive photoresist (ie, the acid will decompose a polymer that can be decomposed by the acid, making the polymer more hydrophilic), the solubility of the photoresist layer 120 increases. Alternatively, when the photoresist layer 120 is a negative type photoresist (ie, the acid will catalyze a crosslinked polymer that can be catalyzed by the acid, making the polymer more hydrophobic), the solubility of the photoresist layer 120 decreases. Alternatively, the photoresist layer 120 may be subjected to a post-exposure baking (PEB) process, and then developed through any suitable process to form a pattern on the photoresist layer 120.

接著,可利用顯影液以移除部分的光阻層120。顯影液可依據光阻型態(例如,正型或負型)來移除曝光或未曝光部分。若光阻層120包括負型光阻,則曝光部分不會被顯影液溶解並留在基底上方。若光阻層120為正型光阻,則曝光部分會被正型顯影液溶解,並留下未曝光的部分。若光阻層120為正型光阻且被負型顯影液顯影,則未曝光部分會被溶解,並留下曝光部分。剩餘的曝光部分(或未曝光部分)定義了圖案。 Then, a developing solution may be used to remove a part of the photoresist layer 120. The developer can remove the exposed or unexposed portions depending on the photoresist type (eg, positive or negative). If the photoresist layer 120 includes a negative photoresist, the exposed portion will not be dissolved by the developing solution and remain above the substrate. If the photoresist layer 120 is a positive type photoresist, the exposed portion will be dissolved by the positive developing solution, and the unexposed portion will be left. If the photoresist layer 120 is a positive type photoresist and is developed by a negative developing solution, the unexposed portion will be dissolved and the exposed portion will remain. The remaining exposed portions (or unexposed portions) define the pattern.

儘管現存的微影方法通常已足以滿足預期的目標,仍然無法在所有方面完全令人滿意。例如,光阻層120包括諸如羥基(例如:-OH)或羧基(例如:-COOH)的鹼性水溶性成分,當被顯影液顯影時,未曝光的圖案會部分溶解。此問題稱為溶脹(swelling),其會造成不佳的線寬變動(line-width-variation)、膜損壞及光阻圖案碰撞(photoresist pattern collision)。本揭露提供具有硬化處理的微影製程以減少不佳的線寬變動、膜損壞及光阻圖案碰撞。 Although existing lithography methods are usually sufficient to meet the desired goals, they are still not completely satisfactory in all respects. For example, the photoresist layer 120 includes a basic water-soluble component such as a hydroxyl group (for example: -OH) or a carboxyl group (for example: -COOH). When developed by a developing solution, the unexposed pattern is partially dissolved. This problem is called swelling, which can cause poor line-width-variation, film damage, and photoresist pattern collision. The present disclosure provides a lithography process with a hardening process to reduce poor line width variations, film damage, and photoresist pattern collisions.

根據本揭露的一些實施例,第2圖顯示製造半導體裝置300的方法200之流程圖。在各個實施例中,本揭露使用重 複的標號及/或字母。除非另有說明,此重複係為了簡化及清晰化,使得重複的標號及/或字母在各個實施例中指出相似的特徵。 According to some embodiments of the present disclosure, FIG. 2 shows a flowchart of a method 200 for manufacturing a semiconductor device 300. In various embodiments, this disclosure uses Duplicate numbers and / or letters. Unless otherwise stated, this repetition is for simplicity and clarity, so that repeated reference numerals and / or letters indicate similar features in the various embodiments.

請參照第2圖及第3圖,方法200開始於步驟202,沉積諸如光阻層120的光敏感層於基底110上方,例如使用旋轉塗佈技術。接著,方法200繼續至步驟204,曝露光阻層120至輻射源。光阻層120經過具有預定義圖案的光罩(罩幕或中間罩幕)140,曝露至來自光源(例如:光源130)的輻射束(例如:輻射束135)。曝光製程在光阻層120中形成潛在影像(或圖案),包括數個曝光區310及數個未曝光區320。第3圖顯示深淺不一的光阻層120。深色區120B代表曝光區310,引起酸產生的化學反應,而淺色區120A顯示未曝光區320,其阻擋掉光源130,因此不會產生酸。在曝光區310中,輻射束135到達光阻層120,以在曝光區310及未曝光區320之間產生有效的溶解度轉換。 Referring to FIG. 2 and FIG. 3, the method 200 starts at step 202, and a photosensitive layer such as a photoresist layer 120 is deposited on the substrate 110, for example, using a spin coating technique. Next, the method 200 proceeds to step 204 to expose the photoresist layer 120 to the radiation source. The photoresist layer 120 passes through a photomask (a mask or a middle mask) 140 having a predefined pattern, and is exposed to a radiation beam (for example, the radiation beam 135) from a light source (for example, the light source 130). The exposure process forms a latent image (or pattern) in the photoresist layer 120 and includes a plurality of exposed areas 310 and a plurality of unexposed areas 320. FIG. 3 shows the photoresist layer 120 having different shades. The dark area 120B represents the exposed area 310, which causes a chemical reaction caused by the acid, while the light colored area 120A shows the unexposed area 320, which blocks the light source 130, and therefore does not generate acid. In the exposed area 310, the radiation beam 135 reaches the photoresist layer 120 to generate an effective solubility conversion between the exposed area 310 and the unexposed area 320.

方法200在步驟204之後具有兩條路徑,分別以字尾”A”及”B”表示。這兩條路徑分別於下方討論。請參照第2圖及第4A圖,對於路徑A,方法200繼續至步驟206A,施用具有硬化劑410的硬化處理至光阻層120。硬化處理可包括利用具有硬化劑410的水溶液混合物,於濕製程站或腔室之中實行濕處理。硬化劑410的濃度為水溶液約0.1%至50%的範圍。 The method 200 has two paths after step 204, which are indicated by the suffixes "A" and "B", respectively. These two paths are discussed below. Referring to FIG. 2 and FIG. 4A, for path A, the method 200 continues to step 206A, and a hardening treatment with a hardener 410 is applied to the photoresist layer 120. The hardening process may include performing a wet process in a wet process station or chamber using an aqueous solution mixture having a hardener 410. The concentration of the hardener 410 ranges from about 0.1% to 50% of the aqueous solution.

硬化處理期間,硬化劑410與光阻層120的頂部反應。具體來說,硬化劑與光阻層120的頂部反應以增加頂部的表面密度、玻璃轉化溫度(Tg)或機械強度,從而形成硬化頂層120L。因此,硬化頂層120L較直接位於其下方的光阻層第二部 分具有更高的玻璃轉化溫度。 During the hardening process, the hardener 410 reacts with the top of the photoresist layer 120. Specifically, the hardener reacts with the top of the photoresist layer 120 to increase the surface density, glass transition temperature (Tg), or mechanical strength of the top, thereby forming a hardened top layer 120L. Therefore, the hardened top layer 120L is more directly below the second part of the photoresist layer. Have a higher glass transition temperature.

如第4B圖所示,在一個實例中,硬化劑410具有一化學結構,包括至少一個第一間隔基Rf1於括號之間。第一間隔基Rf1可包括具有碳鏈1~12的芳族碳環、直鏈或環狀的烷基、烷氧基、氟烷基,氟烷氧基、烯、炔、羥基、酮、醛、碳酸酯、羧酸、酯、醚、醯胺、胺、亞胺、醯亞胺、疊氮化物、硝酸鹽、腈、亞硝酸鹽或硫醇間隔基。第一間隔基Rf1連接至極性基(P)y,在此y係至少為2的整數。極性基P可包括:-Cl、-Br、-I、-NO2、-SO3-、-H-、-CN、-NCO、-OCN、-CO2-、-OH、-OR*、-OC(O)CR*、-SR、-SO2N(R*)2、-SO2R*、SOR、-OC(O)R*、-C(O)OR*、-C(O)R*、-Si(OR*)3、-Si(R*)3、環氧基,在此,R*係H、一非支鏈或支鏈、環狀或非環狀的飽和或不飽和的烷基或烯基或炔基。其中,硬化劑較佳為NHR1R2,R1/R2包括H、烷基、炔、烷基、烷氧基、氟烷基、氟烷氧基、烯、炔、羥基、酮、醛、碳酸酯、羧酸、酯、醚、醯胺、胺、亞胺、醯亞胺、疊氮化物、硝酸鹽、腈或亞硝酸鹽。 As shown in FIG. 4B, in one example, the hardener 410 has a chemical structure including at least one first spacer R f1 between parentheses. The first spacer R f1 may include an aromatic carbocyclic ring having a carbon chain of 1 to 12, a linear or cyclic alkyl group, an alkoxy group, a fluoroalkyl group, a fluoroalkoxy group, an olefin, an alkyne, a hydroxyl group, a ketone, Aldehydes, carbonates, carboxylic acids, esters, ethers, amines, amines, imines, amines, azides, nitrates, nitriles, nitrites or thiol spacers. The first spacer R f1 is connected to a polar group (P) y , where y is an integer of at least two. The polar group P may include: -Cl, -Br, -I, -NO 2 , -SO 3- , -H-, -CN, -NCO, -OCN, -CO 2- , -OH, -OR *,- OC (O) CR *, -SR, -SO 2 N (R *) 2 , -SO 2 R *, SOR, -OC (O) R *, -C (O) OR *, -C (O) R *, -Si (OR *) 3 , -Si (R *) 3 , epoxy group, here, R * is H, a non-branched or branched chain, cyclic or acyclic saturated or unsaturated Alkyl or alkenyl or alkynyl. Among them, the hardener is preferably NHR1R2, and R1 / R2 includes H, alkyl, alkyne, alkyl, alkoxy, fluoroalkyl, fluoroalkoxy, olefin, alkyne, hydroxyl, ketone, aldehyde, carbonate, carboxy Acids, esters, ethers, amidines, amines, imines, amidines, azides, nitrates, nitriles or nitrites.

如第4C圖所示,在另一個實例中,硬化劑410具有另一化學結構,包括第一間隔基Rf連接至連接基(L)z於括號之間,在此z係至少為2的整數。連接基L可包括:-NH2、-OH、-SH、-COOH、-COH、-COOR、OCOR、COR、酸酐、環氧基、en基、R’OR、R’OOR、R’OSOOR、RX,在此,R*係H、一非支鏈或支鏈、環狀或非環狀的飽和或不飽和的烷基或烯基或炔基。X係鹵化物。 As shown in FIG. 4C, in another example, the hardener 410 has another chemical structure, including a first spacer R f connected to a linker (L) z between brackets, where z is at least 2 Integer. The linking group L may include: -NH 2 , -OH, -SH, -COOH, -COH, -COOR, OCOR, COR, anhydride, epoxy group, en group, R'OR, R'OOR, R'OSOOR, RX, where R * is H, a non-branched or branched, cyclic or acyclic, saturated or unsaturated alkyl or alkenyl or alkynyl. X-based halide.

硬化劑也可包括介面活性劑。在一些實施例中, 介面活性劑的濃度為水溶液的約0.1%至10%。 The hardener may also include a surfactant. In some embodiments, The concentration of the surfactant is about 0.1% to 10% of the aqueous solution.

硬化劑410也可包括溶劑或水溶液。在一些實施例中,硬化劑410的濃度為溶劑的約0.1%至50%。 The hardener 410 may also include a solvent or an aqueous solution. In some embodiments, the concentration of hardener 410 is about 0.1% to 50% of the solvent.

如第4D-4F圖分別所示,硬化劑410的特定實例可包括,但不限定於蒽-1,8-二羧酸、二乙醇胺、丙酮-1,3-二羧酸或乙二胺。硬化劑410的其他形式可根據本文所述的原理使用。 As shown in FIGS. 4D-4F respectively, specific examples of the hardener 410 may include, but are not limited to, anthracene-1,8-dicarboxylic acid, diethanolamine, acetone-1,3-dicarboxylic acid, or ethylenediamine. Other forms of hardener 410 may be used in accordance with the principles described herein.

在一些實施例中,硬化劑410的極性基(P)y被吸收或反應至光阻層120頂部的可顯影之官能基(例如:-OH或-COOH),以形成硬化外層120L。在一些實施例中,硬化頂層120L藉由硬化劑410與光阻層120頂部之間的內分子作用力來形成,例如:凡得瓦力、氫鍵結、電子力、離子力。舉例來說,當極性基(P)y包含羥基而光阻層120表面包含羧基時,由於彼此之間的氫鍵很強,羥基單元傾向於被羧基吸收。 In some embodiments, the polar group (P) y of the hardener 410 is absorbed or reacted to a developable functional group (eg, -OH or -COOH) on top of the photoresist layer 120 to form a hardened outer layer 120L. In some embodiments, the hardened top layer 120L is formed by an internal molecular force between the hardener 410 and the top of the photoresist layer 120, such as van der Waals force, hydrogen bonding, electronic force, and ionic force. For example, when the polar group (P) y contains a hydroxyl group and the surface of the photoresist layer 120 contains a carboxyl group, the hydroxyl units tend to be absorbed by the carboxyl group due to strong hydrogen bonding between each other.

在一些實施例中,硬化頂層120L透過共價鍵結來形成例如烷化、縮合、羧化、酯化及/或醯胺化反應。舉例來說,當連接基(L)z包含羥基而光阻層120表面包含酚基時,由於彼此之間的氫鍵很強,羥基單元傾向於與酚基反應,以在硬化劑410與光阻層120的外層之間形成共價鍵。 In some embodiments, the hardened top layer 120L is covalently bonded to form, for example, alkylation, condensation, carboxylation, esterification, and / or amination reactions. For example, when the linking group (L) z contains a hydroxyl group and the surface of the photoresist layer 120 contains a phenol group, the hydroxyl units tend to react with the phenol group due to strong hydrogen bonding between each other, so that the hardener 410 and light A covalent bond is formed between the outer layers of the resist layer 120.

請參照第2圖及第5圖,持續沿著方法200的路徑A繼續至步驟208A,顯影具有硬化頂層120L的光阻層120,以形成光阻特徵510。利用顯影液以移除部分光阻層120。顯影液的實例為四甲基氫氧化銨(TMAH)。可利用任何濃度梯度的TMAH顯影液,例如約2.38%的TMAH顯影液。顯影液可依據光阻型態來移除曝光或未曝光部分。例如,若光阻層120包括負型光 阻,則曝光部分不會被顯影液溶解,並留下基底110。若光阻層120包括正型光阻,則曝光部分會被顯影液溶解,並留下未曝光部分。接著,半導體裝置300可進行諸如去離子(DI)水清洗的清洗製程。清洗製程可移除剩餘的粒子。此外,在顯影光阻層120之前,實行後曝光烘烤(PEB)製程。 Referring to FIG. 2 and FIG. 5, continue along the path A of the method 200 to step 208A, and develop the photoresist layer 120 having the hardened top layer 120L to form a photoresist feature 510. A developing solution is used to remove a portion of the photoresist layer 120. An example of a developing solution is tetramethylammonium hydroxide (TMAH). Any concentration gradient of TMAH developer can be utilized, such as about 2.38% TMAH developer. The developer can remove the exposed or unexposed parts according to the photoresist type. For example, if the photoresist layer 120 includes negative light Resistance, the exposed portion will not be dissolved by the developing solution, and the substrate 110 remains. If the photoresist layer 120 includes a positive type photoresist, the exposed portion will be dissolved by the developing solution, and an unexposed portion will remain. Then, the semiconductor device 300 may perform a cleaning process such as deionized (DI) water cleaning. The cleaning process removes the remaining particles. In addition, before the photoresist layer 120 is developed, a post-exposure baking (PEB) process is performed.

顯影製程期間,硬化頂層120L減緩了顯影液(例如TMAH)攻擊光阻層120。由於硬化頂層120L減緩了光阻層120的顯影,形成光阻特徵510期間,較少膜損失且較少線寬變動發生。 During the development process, the hardened top layer 120L slows down the attack of the developing solution (such as TMAH) on the photoresist layer 120. Since the hardened top layer 120L slows down the development of the photoresist layer 120, during the formation of the photoresist feature 510, less film loss and less line width variation occur.

如上述討論,方法200在步驟204之後具有兩條路徑,分別以字尾”A”及”B”表示。接著輪到路徑B,請參照第2圖及第6A-6B圖,方法200繼續至步驟206B,利用具有硬化劑410的原位硬化處理來顯影光阻層120,以形成光阻特徵510。原位硬化處理可包括藉由諸如摻合(blending)的方式將硬化劑410併入顯影液中。也就是說,於路徑B中,在顯影光阻層120之前,不會單獨地施用硬化劑410。而是,硬化劑410為施用至光阻層420的顯影液之一部分。 As discussed above, the method 200 has two paths after step 204, which are indicated by the suffixes "A" and "B", respectively. Next, it is the path B. Referring to FIGS. 2 and 6A-6B, the method 200 proceeds to step 206B, and the in-situ hardening process with the hardener 410 is used to develop the photoresist layer 120 to form the photoresist feature 510. The in-situ hardening process may include incorporating the hardener 410 into the developing solution by means such as blending. That is, in the path B, the hardener 410 is not separately applied before the photoresist layer 120 is developed. Instead, the hardener 410 is part of the developing solution applied to the photoresist layer 420.

顯影製程期間,硬化劑410被光阻層120吸收及/或與光阻層120反應,其在許多方面相似於上述關於第4圖的討論。由於硬化劑410具有多極性基P,其會被光阻層120頂層的官能基(例如:-OH或-COOH)吸收且/或與官能基反應。藉由促使光阻層120表面與顯影液之間具有較低的親和力,硬化劑410減緩了顯影液(例如:TMAH)於光阻層120上方的攻擊。其結果增加了光阻特徵510的機械強度,並因此減緩了光阻特徵510的 崩塌。 During the development process, the hardener 410 is absorbed by and / or reacts with the photoresist layer 120, which is similar in many respects to the discussion of FIG. 4 described above. Since the hardener 410 has a multipolar group P, it is absorbed by a functional group (for example, -OH or -COOH) on the top layer of the photoresist layer 120 and / or reacts with the functional group. By promoting a lower affinity between the surface of the photoresist layer 120 and the developing solution, the hardener 410 reduces the attack of the developing solution (eg, TMAH) above the photoresist layer 120. As a result, the mechanical strength of the photoresistive feature 510 is increased, and thus the collapse.

可以實行額外的步驟於方法200之前、期間或之後,且在方法200的其他實施例中,一些上述步驟可被取代或移除。例如,在路徑A中,於步驟206A之後及步驟208A之前實行硬化製程。硬化製程可包括紫外線(UV)硬化、電漿硬化、輻射硬化、烘烤或其他合適的製程。 Additional steps may be performed before, during, or after the method 200, and in other embodiments of the method 200, some of the above steps may be replaced or removed. For example, in path A, a hardening process is performed after step 206A and before step 208A. The hardening process may include ultraviolet (UV) hardening, plasma hardening, radiation hardening, baking, or other suitable processes.

第7圖係製造半導體裝置300的另一微影製程的方法1000之流程圖。在各個實施例中,本揭露使用重複的標號及/或字母。除非另有說明,此重複係為了簡化及清晰化,使得重複的標號及/或字母在各個實施例中指出相似的特徵。方法1000開始於步驟1002及1004,其相似於方法200之步驟202及204。為了簡化及清晰化,上述關於步驟202及204的描述分別適用於步驟1002及1004,且在此將不會再重複說明。 FIG. 7 is a flowchart of a method 1000 of another lithography process for manufacturing the semiconductor device 300. In various embodiments, the present disclosure uses repeated reference numerals and / or letters. Unless otherwise stated, this repetition is for simplicity and clarity, so that repeated reference numerals and / or letters indicate similar features in the various embodiments. Method 1000 begins at steps 1002 and 1004, which are similar to steps 202 and 204 of method 200. For simplicity and clarity, the descriptions of steps 202 and 204 described above are applicable to steps 1002 and 1004, respectively, and will not be repeated here.

接下來,請參照第7圖及第8A圖,方法1000繼續至步驟1006,顯影光阻層120以形成光阻特徵1200。顯影製程在許多方面相似於上述關於第5圖的討論。如上述討論,光阻層120通常包括一些諸如羥基(例如:-OH)或羧基(例如:-COOH)的鹼性水溶性成分。在顯影製程期間,這些鹼性水溶性成分有時會造成圖案的未曝光部分被顯影液部分溶解(或被顯影液溶脹(swell))。如第8圖所示,光阻層120未曝光部分意外的部分溶解(或溶脹),導致光阻特徵1200具有波狀輪廓/側壁1200S。本揭露提供具有可調整的平滑製程,以減少光阻特徵1200波狀輪廓的程度。 Next, referring to FIG. 7 and FIG. 8A, the method 1000 continues to step 1006 to develop the photoresist layer 120 to form a photoresist feature 1200. The development process is similar in many respects to the discussion of Figure 5 above. As discussed above, the photoresist layer 120 generally includes some basic water-soluble components such as a hydroxyl group (for example: -OH) or a carboxyl group (for example: -COOH). During the development process, these alkaline water-soluble components sometimes cause the unexposed portions of the pattern to be partially dissolved (or swelled) by the developer. As shown in FIG. 8, an unexpected partial dissolution (or swelling) of the unexposed portion of the photoresist layer 120 causes the photoresist feature 1200 to have a wavy profile / side wall 1200S. The present disclosure provides a smoothing process with adjustable to reduce the degree of 1200 contours of photoresist features.

請參照第7圖及第9A-9B圖,方法1000繼續至步驟 1008,施用可調整的平滑製程至光阻特徵1200以減少波狀輪廓的程度。可調整的平滑製程包括施用硬化劑410及平滑劑1300至光阻特徵1200。在本實施例中,選擇平滑劑1300來減少光阻層120的玻璃轉化溫度(Tg),以軟化光阻層120。此軟化製程撫平了側壁輪廓1200S。另一方面,硬化劑410增加了光阻層120的玻璃轉化溫度(Tg)或機械強度來硬化光阻層120,以防止光阻特徵1200崩塌。 Please refer to Figures 7 and 9A-9B, method 1000 continues to step 1008. Apply an adjustable smoothing process to the photoresist feature 1200 to reduce the degree of wavy contour. The adjustable smoothing process includes applying a hardener 410 and a smoother 1300 to a photoresist feature 1200. In this embodiment, the smoothing agent 1300 is selected to reduce the glass transition temperature (Tg) of the photoresist layer 120 to soften the photoresist layer 120. This softening process smoothes out the sidewall profile 1200S. On the other hand, the hardener 410 increases the glass transition temperature (Tg) or mechanical strength of the photoresist layer 120 to harden the photoresist layer 120 to prevent the photoresist feature 1200 from collapsing.

如第9B圖所示,考慮到光阻特徵1200諸如臨界尺寸及長寬比之特徵,選擇平滑劑1300與硬化劑410之間適當的平衡,來達成減少波狀側壁輪廓的程度,以製造不致光阻特徵1200崩塌的平滑輪廓1200T。在本實施例中,平滑劑1300的分子量約在150道耳頓至3000道耳頓的範圍。 As shown in FIG. 9B, in consideration of the characteristics of the photoresist feature 1200 such as the critical size and aspect ratio, an appropriate balance between the smoothing agent 1300 and the hardener 410 is selected to achieve a degree of reducing the contour of the wavy side wall so as not to cause Photoresist feature 1200 collapses smooth contour 1200T. In the present embodiment, the molecular weight of the smoothing agent 1300 is in the range of about 150 to 3,000 channels.

在一些實施例中,如第9A圖所示,硬化劑410與平滑劑1300同時施用至光阻特徵1200,例如將它們混合在一起。由於硬化劑410的高極性官能基P對光阻層120表面具有高親和力,故其傾向於先吸收至光阻層120。在一些實施例中,如第9C-9D圖所示,分別施用硬化劑410與平滑劑1300,使得硬化劑410先施用至光阻特徵1200,接著再施用平滑劑1300。然後,在可調整的平滑製程期間,原位移除硬化劑410及平滑劑1300。 In some embodiments, as shown in Figure 9A, a hardener 410 and a smoothing agent 1300 are applied to the photoresist feature 1200 at the same time, such as mixing them together. Since the highly polar functional group P of the hardener 410 has a high affinity for the surface of the photoresist layer 120, it tends to absorb to the photoresist layer 120 first. In some embodiments, as shown in Figures 9C-9D, the hardener 410 and the smoothing agent 1300 are applied separately, so that the hardener 410 is first applied to the photoresist feature 1200 and then the smoothing agent 1300 is applied. Then, during the adjustable smoothing process, the hardener 410 and the smoothing agent 1300 are removed in situ.

如第10A圖所示,平滑劑1300的基本結構包括:第二間隔基Rf2連接至含碳基(C)m於括號之間。第二間隔基Rf2包括:具有碳鏈1~4的芳族碳環、直鏈或環狀的烷基/烷氧基/氟烷基/氟烷氧基鏈,或是具有碳鏈1~4的直鏈或環狀的烯、炔、羥基、酮、醛、碳酸酯、羧酸、酯、醚、醯胺、胺、亞胺、醯亞 胺、疊氮化物、腈,或是、-SO3-、-CO2-。在此,m及n係兩個整數。在一些實施例中,m係1至6及n係1至20。第10A圖所示結構如下: As shown in FIG. 10A, the basic structure of the smoothing agent 1300 includes: the second spacer R f2 is connected to the carbon-containing group (C) m between the brackets. The second spacer R f2 includes: an aromatic carbocyclic ring having a carbon chain of 1 to 4, a linear or cyclic alkyl / alkoxy / fluoroalkyl / fluoroalkoxy chain, or a carbon chain of 1 to 4 4 linear or cyclic alkenes, alkynes, hydroxyls, ketones, aldehydes, carbonates, carboxylic acids, esters, ethers, amidines, amines, imines, amidines, azides, nitriles, or,- SO 3- , -CO 2- . Here, m and n are two integers. In some embodiments, m is 1 to 6 and n is 1 to 20. The structure shown in Figure 10A is as follows:

請再次參照第10A圖,含碳基(C)m連接至反應基Re。反應基Re包括:H、OH、鹵化物、或是具有碳鏈5~12的芳族碳環、具有碳鏈1~12直鏈或環狀的烷基、烷氧基、氟烷基,氟烷氧基、烯基、炔基、羥基、醛基、羧基、醯胺基、胺基、亞胺基、醯亞胺基、疊氮基、腈基或硫醇基。 Referring to FIG. 10A again, the carbon-containing group (C) m is connected to the reactive group Re. The reactive group Re includes: H, OH, a halide, or an aromatic carbocyclic ring having a carbon chain of 5-12, a linear or cyclic alkyl group having a carbon chain of 1-12, an alkoxy group, a fluoroalkyl group, and a fluorine group. Alkoxy, alkenyl, alkynyl, hydroxyl, aldehyde, carboxyl, amido, amine, imine, amido, azide, nitrile or thiol.

第二間隔基Rf2及反應基Re也可包括:-Cl、-Br、-I、-NO2、-SO3-、-H、-CN、-NCO、-OCN、-CO2-、-OH、-OR*、-OC(O)CR*、-SR、-SO2N(R*)2、-SO2R*、SOR、-OC(O)R*、-C(O)OR*、-C(O)R*、-Si(OR*)3、-Si(R*)3、環氧基,在此,R*係H、一非支鏈或支鏈、環狀或非環狀的飽和或不飽和的烷基或烯基或炔基。 The second spacer R f2 and the reactive group Re may also include: -Cl, -Br, -I, -NO 2 , -SO 3- , -H, -CN, -NCO, -OCN, -CO 2 -,- OH, -OR *, -OC (O) CR *, -SR, -SO 2 N (R *) 2 , -SO2R *, SOR, -OC (O) R *, -C (O) OR *,- C (O) R *, -Si (OR *) 3 , -Si (R *) 3 , epoxy group, where R * is H, a non-branched or branched, cyclic or acyclic Saturated or unsaturated alkyl or alkenyl or alkynyl.

如第10B圖所示,平滑劑1300可具有另一化學結構,包括第二間隔基Rf2連接至含苯基Ar於括號之間。Ar基團可包括具有碳數2~16的不飽和烴。Ar基團連接至反應基Re。第10B圖所示結構如下: As shown in FIG. 10B, the smoothing agent 1300 may have another chemical structure including a second spacer R f2 connected to a phenyl group-containing Ar between parentheses. The Ar group may include an unsaturated hydrocarbon having 2 to 16 carbon atoms. The Ar group is attached to the reactive group Re. The structure shown in Figure 10B is as follows:

如第10C圖所示,平滑劑1300可具有另一化學結構,包括第二間隔基Rf2連接至含碳基(C)m及反應基Re於括號之間。括號中的反應基Re與極性基P連接。含碳基(C)m連接至另外兩個反應基Re。第10C圖所示結構如下: As shown in FIG. 10C, the smoothing agent 1300 may have another chemical structure, including a second spacer R f2 connected to a carbon-containing group (C) m and a reactive group Re between parentheses. The reactive group Re in parentheses is connected to the polar group P. The carbon-containing group (C) m is connected to two other reactive groups Re. The structure shown in Figure 10C is as follows:

如第10D圖所示,平滑劑1300可具有另一化學結構,包括第二間隔基Rf2連接至Ar基團及反應基Re於括號之間。括號中的反應基Re連接至兩個極性基P。Ar基團連接至另外兩個反應基Re。可以實行額外的步驟於方法1000之前、期間或之後,且於方法1000的其他實施例中,一些上述步驟可被取代或移除。例如,在步驟1008之後,實行硬化製程至光阻特徵1200以增進平滑效果。硬化製程可包括紫外線(UV)硬化、電漿硬化、輻射硬化、烘烤或其他合適的製程。例如,在步驟1008之後,方法1000的另一步驟可包括實行第二顯影製程以增進平滑效果。第10D圖所示結構如下: As shown in FIG. 10D, the smoothing agent 1300 may have another chemical structure, including a second spacer R f2 connected to an Ar group and a reactive group Re between parentheses. The reactive group Re in parentheses is connected to two polar groups P. The Ar group is connected to two other reactive groups, Re. Additional steps may be performed before, during, or after method 1000, and in other embodiments of method 1000, some of the above steps may be replaced or removed. For example, after step 1008, a hardening process is performed to the photoresist feature 1200 to improve the smoothing effect. The hardening process may include ultraviolet (UV) hardening, plasma hardening, radiation hardening, baking, or other suitable processes. For example, after step 1008, another step of method 1000 may include performing a second development process to enhance the smoothing effect. The structure shown in Figure 10D is as follows:

基於上述討論,本揭露提供一種顯影製程的方法。此方法採用實行硬化處理至光阻層,並實行平滑處理至光阻特徵。此方法證明了線寬粗糙度(LWR)、光阻特徵崩塌及膜損壞的減少。可調整的平滑處理實現了光阻特徵側壁輪廓的平滑,也強化了光阻機械的效能。 Based on the above discussion, the present disclosure provides a method for a developing process. This method uses a hardening process to the photoresist layer and a smooth process to the photoresist feature. This method demonstrates reduced line width roughness (LWR), photoresist feature collapse, and reduced film damage. The adjustable smoothing process realizes the smoothness of the sidewall profile of the photoresist feature and also strengthens the efficiency of the photoresist mechanism.

本揭露涉及半導體裝置的製造方法。此方法包括曝露光阻層至輻射源,並施用硬化劑至光阻層。因此,在施用硬化劑之後,光阻層的第一部分較光阻層的第二部分具有更高的玻璃轉化溫度或更高的機械強度。 The present disclosure relates to a method of manufacturing a semiconductor device. The method includes exposing the photoresist layer to a radiation source, and applying a hardener to the photoresist layer. Therefore, after the hardener is applied, the first part of the photoresist layer has a higher glass transition temperature or higher mechanical strength than the second part of the photoresist layer.

製造半導體裝置的另一方法包括曝露光阻層至輻射源,顯影光阻層以形成一特徵,施用硬化劑至上述特徵,其中,硬化劑增加了上述特徵的玻璃轉化溫度,且施用平滑劑至上述特徵,其中,平滑劑減少了上述特徵的玻璃轉化溫度。 Another method of manufacturing a semiconductor device includes exposing a photoresist layer to a radiation source, developing the photoresist layer to form a feature, applying a hardener to the above feature, wherein the hardener increases the glass transition temperature of the above feature, and applying a smoothing agent to The above feature, wherein the smoothing agent reduces the glass transition temperature of the above feature.

用於微影製程的材料包括具有分子量範圍約在150道耳頓至3000道耳頓的材料。此材料包括間隔基Rf、含碳基及反應基,或間隔基Rf、含碳基、極性基及反應基,或間隔基Rf、含苯基、極性基及反應基。 Materials used in the lithography process include materials having a molecular weight in the range of about 150 channels to 3,000 channels. This material includes a spacer R f , a carbon-containing group and a reactive group, or a spacer R f , a carbon-containing group, a polar group, and a reactive group, or a spacer R f , a phenyl group, a polar group, and a reactive group.

前述內文概述了許多實施例的特徵,使本技術領域中具有通常知識者可以更佳的了解本揭露的各個方面。本技 術領域中具有通常知識者應該可理解,他們可以很容易的以本揭露為基礎來設計或修飾其它製程及結構,並以此達到相同的目的及/或達到與本揭露介紹的實施例相同的優點。本技術領域中具有通常知識者也應該了解這些相等的結構並不會背離本揭露的發明精神與範圍。本揭露可以作各種改變、置換、修改而不會背離本揭露的發明精神與範圍。 The foregoing text summarizes the features of many embodiments so that those having ordinary skill in the art can better understand the various aspects of the present disclosure. This technique Those with ordinary knowledge in the field of surgery should understand that they can easily design or modify other processes and structures based on this disclosure, and thereby achieve the same purpose and / or achieve the same as the embodiments described in this disclosure. advantage. Those of ordinary skill in the art should also understand that these equivalent structures do not depart from the spirit and scope of the invention disclosed herein. Various changes, substitutions, and modifications can be made in the disclosure without departing from the spirit and scope of the disclosure.

Claims (4)

一種用於微影製程的材料,其中該材料具有以下之化學結構:
Figure TWI648596B_C0001
其中:Rf代表第一間隔基,其係選自下列所組成之群組:具有碳鏈1~4的直鏈或環狀的烷基/烷氧基/氟烷基/氟烷氧基鏈、具有碳鏈1~4的直鏈或環狀的烯、炔、酮、碳酸酯、酯、醚、醯胺、胺、亞胺、醯亞胺、疊氮化物、-SO3-、-CO2-;C代表碳;Ar代表苯基團,其中該Ar基團包括具有碳數2~16的不飽和烴;Re代表反應基,其中Re係選自下列所組成之群組:具有碳鏈5~12的芳族碳環、具有碳鏈1~12的直鏈或環狀的烷基、烷氧基、氟烷基,氟烷氧基、烯基、炔基、醛基、羧基、醯胺基、胺基、亞胺基、醯亞胺基、疊氮基、腈基、-Cl、-Br、-I、-NO2、-H、-NCO、-OCN、-OH、-OC(O)CH3、-SH、-SO2NH2、-SO2H、-SOH、-OC(O)H、-C(O)OH、-C(O)H、-Si(OH)3、-SiH3;以及n代表從1至20的整數。
A material used in the lithography process, wherein the material has the following chemical structure:
Figure TWI648596B_C0001
Where: R f represents the first spacer, which is selected from the group consisting of linear or cyclic alkyl / alkoxy / fluoroalkyl / fluoroalkoxy chains with carbon chains 1 to 4 , Linear or cyclic alkenes, acetylenes, ketones, carbonates, esters, ethers, amides, amines, imines, amides, azides, -SO 3- , -CO 2- ; C stands for carbon; Ar stands for phenyl group, wherein the Ar group includes unsaturated hydrocarbons having 2 to 16 carbon atoms; Re stands for reactive group, where Re is selected from the group consisting of: having a carbon chain 5-12 aromatic carbocycles, linear or cyclic alkyl groups having 1-12 carbon chains, alkoxy groups, fluoroalkyl groups, fluoroalkoxy groups, alkenyl groups, alkynyl groups, aldehyde groups, carboxyl groups, acetyl groups Amino, amine, imino, amide imino, azide, nitrile, -Cl, -Br, -I, -NO 2 , -H, -NCO, -OCN, -OH, -OC ( O) CH 3 , -SH, -SO 2 NH 2 , -SO 2 H, -SOH, -OC (O) H, -C (O) OH, -C (O) H, -Si (OH) 3 , -SiH 3 ; and n represents an integer from 1 to 20.
一種用於微影製程的材料,該材料具有以下之化學結構:
Figure TWI648596B_C0002
其中:Rf代表第一間隔基,其係選自下列所組成之群組:具有碳鏈1~4的直鏈或環狀的烷基/烷氧基/氟烷基/氟烷氧基鏈、具有碳鏈1~4的直鏈或環狀的烯、炔、酮、碳酸酯、酯、醚、醯胺、胺、亞胺、醯亞胺、疊氮化物、-SO3-、-CO2-;C代表碳;Re代表反應基,其中Re係選自下列所組成之群組:具有碳鏈5~12的芳族碳環、具有碳鏈1~12的直鏈或環狀的烷基、烷氧基、氟烷基,氟烷氧基、烯基、炔基、醛基、羧基、醯胺基、胺基、亞胺基、醯亞胺基、疊氮基、腈基、-Cl、-Br、-I、-NO2、-H、-NCO、-OCN、-OH、-OC(O)CH3、-SH、-SO2NH2、-SO2H、-SOH、-OC(O)H、-C(O)OH、-C(O)H、-Si(OH)3、-SiH3;P係選自下列所組成之群組:-Cl、-Br、-I、-NO2、-H、-CN、-NCO、-OCN、-OH、-OC(O)CH3、-SH、-SO2NH2、-SO2H、-SOH、-OC(O)H、-C(O)OH、-C(O)H、-Si(OH)3、-SiH3;m代表從1至6的整數;以及n代表從1至20的整數。
A material used in the lithography process. The material has the following chemical structure:
Figure TWI648596B_C0002
Where: R f represents the first spacer, which is selected from the group consisting of linear or cyclic alkyl / alkoxy / fluoroalkyl / fluoroalkoxy chains with carbon chains 1 to 4 , Linear or cyclic alkenes, acetylenes, ketones, carbonates, esters, ethers, amides, amines, imines, amides, azides, -SO 3- , -CO 2- ; C represents carbon; Re represents reactive group, wherein Re is selected from the group consisting of: aromatic carbon ring with carbon chain 5-12, linear or cyclic alkane with carbon chain 1-12 Group, alkoxy group, fluoroalkyl group, fluoroalkoxy group, alkenyl group, alkynyl group, aldehyde group, carboxyl group, amide group, amine group, imine group, amide imide group, azido group, nitrile group,- Cl, -Br, -I, -NO 2 , -H, -NCO, -OCN, -OH, -OC (O) CH 3 , -SH, -SO 2 NH 2 , -SO 2 H, -SOH,- OC (O) H, -C (O) OH, -C (O) H, -Si (OH) 3 , -SiH 3 ; P is selected from the group consisting of: -Cl, -Br, -I , -NO 2 , -H, -CN, -NCO, -OCN, -OH, -OC (O) CH 3 , -SH, -SO 2 NH 2 , -SO 2 H, -SOH, -OC (O) H, -C (O) OH, -C (O) H, -Si (OH) 3 , -SiH 3 ; m represents an integer from 1 to 6; and n represents an integer from 1 to 20.
一種用於微影製程的材料,該材料具有以下之化學結構:
Figure TWI648596B_C0003
其中:Rf代表第一間隔基,其係選自下列所組成之群組:具有碳鏈1~4的直鏈或環狀的烷基/烷氧基/氟烷基/氟烷氧基鏈、具有碳鏈1~4的直鏈或環狀的烯、炔、酮、碳酸酯、酯、醚、醯胺、胺、亞胺、醯亞胺、疊氮化物、-SO3-、-CO2-;C代表碳;Ar代表苯基團,其中該Ar基團包括具有碳數2~16的不飽和烴;Re代表反應基,其中Re係選自下列所組成之群組:具有碳鏈5~12的芳族碳環、具有碳鏈1~12的直鏈或環狀的烷基、烷氧基、氟烷基,氟烷氧基、烯基、炔基、醛基、羧基、醯胺基、胺基、亞胺基、醯亞胺基、疊氮基、腈基、-Cl、-Br、-I、-NO2、-H、-NCO、-OCN、-OH、-OC(O)CH3、-SH、-SO2NH2、-SO2H、-SOH、-OC(O)H、-C(O)OH、-C(O)H、-Si(OH)3、-SiH3:P係選自下列所組成之群組:-Cl、-Br、-I、-NO2、-H、-CN、-NCO、-OCN、-OH、-OC(O)CH3、-SH、-SO2NH2、-SO2H、-SOH、-OC(O)H、-C(O)OH、-C(O)H、-Si(OH)3、-SiH3;以及n代表從1至20的整數。
A material used in the lithography process. The material has the following chemical structure:
Figure TWI648596B_C0003
Where: R f represents the first spacer, which is selected from the group consisting of linear or cyclic alkyl / alkoxy / fluoroalkyl / fluoroalkoxy chains with carbon chains 1 to 4 , Linear or cyclic alkenes, acetylenes, ketones, carbonates, esters, ethers, amides, amines, imines, amides, azides, -SO 3- , -CO 2- ; C stands for carbon; Ar stands for phenyl group, wherein the Ar group includes unsaturated hydrocarbons having 2 to 16 carbon atoms; Re stands for reactive group, where Re is selected from the group consisting of: having a carbon chain 5-12 aromatic carbocycles, linear or cyclic alkyl groups having 1-12 carbon chains, alkoxy groups, fluoroalkyl groups, fluoroalkoxy groups, alkenyl groups, alkynyl groups, aldehyde groups, carboxyl groups, acetyl groups Amino, amine, imino, amide imino, azide, nitrile, -Cl, -Br, -I, -NO 2 , -H, -NCO, -OCN, -OH, -OC ( O) CH 3 , -SH, -SO 2 NH 2 , -SO 2 H, -SOH, -OC (O) H, -C (O) OH, -C (O) H, -Si (OH) 3 , -SiH 3 : P is selected from the group consisting of: -Cl, -Br, -I, -NO 2 , -H, -CN, -NCO, -OCN, -OH, -OC (O) CH 3 , -SH, -SO 2 NH 2 , -SO 2 H, -SOH, -OC (O) H, -C (O) OH, -C (O) H, -Si (OH) 3 , -SiH 3 ; And n represents an integer from 1 to 20.
根據申請專利範圍第1-3項中任一項之用於微影製程的材料,其中該材料的分子量為150道耳頓至3000道耳頓。The material used in the lithography process according to any one of items 1 to 3 of the patent application range, wherein the molecular weight of the material is 150 to 3000 Daltons.
TW106130977A 2015-07-17 2015-11-18 Material for lithography TWI648596B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/802,756 US10394126B2 (en) 2015-07-17 2015-07-17 Photolithography process and materials
US14/802,756 2015-07-17

Publications (2)

Publication Number Publication Date
TW201809877A TW201809877A (en) 2018-03-16
TWI648596B true TWI648596B (en) 2019-01-21

Family

ID=57775765

Family Applications (2)

Application Number Title Priority Date Filing Date
TW104138007A TWI606486B (en) 2015-07-17 2015-11-18 Method for manufacturing semiconductor device and material utilized in photolithography process
TW106130977A TWI648596B (en) 2015-07-17 2015-11-18 Material for lithography

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW104138007A TWI606486B (en) 2015-07-17 2015-11-18 Method for manufacturing semiconductor device and material utilized in photolithography process

Country Status (4)

Country Link
US (1) US10394126B2 (en)
KR (1) KR101829961B1 (en)
CN (2) CN111474823B (en)
TW (2) TWI606486B (en)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10573519B2 (en) * 2017-09-08 2020-02-25 Taiwan Semiconductor Manufacturing Co., Ltd. Method for performing a photolithography process
US11106138B2 (en) 2018-08-14 2021-08-31 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography process and material for negative tone development
DE102019134535B4 (en) * 2019-08-05 2023-09-07 Taiwan Semiconductor Manufacturing Co., Ltd. MATERIALS FOR LOWER ANTI-REFLECTIVE PLATING
US11782345B2 (en) * 2019-08-05 2023-10-10 Taiwan Semiconductor Manufacturing Co., Ltd. Bottom antireflective coating materials

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2420890A1 (en) * 2010-08-20 2012-02-22 Fujifilm Corporation Positive photosensitive resin composition, method for forming cured film, cured film, organic el display device and liquid crystal display device

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1028353A1 (en) * 1997-02-20 2000-08-16 Matsushita Electric Industrial Co., Ltd. Pattern forming method
US8518628B2 (en) 2006-09-22 2013-08-27 Taiwan Semiconductor Manufacturing Company, Ltd. Surface switchable photoresist
WO2008099732A1 (en) * 2007-02-16 2008-08-21 Taiyo Ink Mfg. Co., Ltd. Composition for forming cured film pattern, and method for producing cured film pattern by using the same
US8580117B2 (en) 2007-03-20 2013-11-12 Taiwan Semiconductor Manufactuing Company, Ltd. System and method for replacing resist filter to reduce resist filter-induced wafer defects
US20090253081A1 (en) 2008-04-02 2009-10-08 David Abdallah Process for Shrinking Dimensions Between Photoresist Pattern Comprising a Pattern Hardening Step
US8158335B2 (en) 2008-09-15 2012-04-17 Taiwan Semiconductor Manufacturing Company, Ltd. High etch resistant material for double patterning
WO2011014011A2 (en) 2009-07-28 2011-02-03 주식회사 동진쎄미켐 Photoresist composition comprising a crosslinkable curing substance
US8216767B2 (en) 2009-09-08 2012-07-10 Taiwan Semiconductor Manufacturing Company, Ltd. Patterning process and chemical amplified photoresist with a photodegradable base
DE102010026490A1 (en) 2010-07-07 2012-01-12 Basf Se Process for the production of finely structured surfaces
US8323870B2 (en) 2010-11-01 2012-12-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method and photoresist with zipper mechanism
US8647796B2 (en) 2011-07-27 2014-02-11 Taiwan Semiconductor Manufacturing Company, Ltd. Photoactive compound gradient photoresist
US8728715B2 (en) * 2012-01-13 2014-05-20 Funai Electric Co., Ltd. Non-photosensitive siloxane coating for processing hydrophobic photoimageable nozzle plate
WO2013113587A1 (en) * 2012-02-03 2013-08-08 Basf Se Hyperbranched polymers for modifying the toughness of hardened epoxy resin systems
US8741551B2 (en) 2012-04-09 2014-06-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method and composition of a dual sensitive resist
JP5856991B2 (en) * 2012-05-21 2016-02-10 富士フイルム株式会社 Chemically amplified resist composition, negative chemically amplified resist composition, resist film using the same, resist-coated mask blanks, photomask manufacturing method and pattern forming method, and electronic device manufacturing method
US9213234B2 (en) 2012-06-01 2015-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Photosensitive material and method of lithography
US9851636B2 (en) 2012-07-05 2017-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Materials and methods for improved photoresist performance
US20140017615A1 (en) 2012-07-11 2014-01-16 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for resist coating and developing
US9256133B2 (en) 2012-07-13 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for developing process
JP5953158B2 (en) 2012-07-26 2016-07-20 富士フイルム株式会社 Pattern forming method and actinic ray-sensitive or radiation-sensitive resin composition for use in the method
US9028915B2 (en) 2012-09-04 2015-05-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a photoresist layer
US8906595B2 (en) 2012-11-01 2014-12-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method for improving resist pattern peeling
US9012132B2 (en) 2013-01-02 2015-04-21 Taiwan Semiconductor Manufacturing Company, Ltd. Coating material and method for photolithography
US9057960B2 (en) * 2013-02-04 2015-06-16 International Business Machines Corporation Resist performance for the negative tone develop organic development process
US8936903B2 (en) 2013-03-09 2015-01-20 Taiwan Semiconductor Manufacturing Company, Ltd. Photo-resist with floating acid
US8932799B2 (en) 2013-03-12 2015-01-13 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist system and method
US9223220B2 (en) 2013-03-12 2015-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Photo resist baking in lithography process
US9146469B2 (en) 2013-03-14 2015-09-29 Taiwan Semiconductor Manufacturing Company, Ltd. Middle layer composition for trilayer patterning stack
US9563122B2 (en) * 2015-04-28 2017-02-07 International Business Machines Corporation Method to harden photoresist for directed self-assembly processes

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2420890A1 (en) * 2010-08-20 2012-02-22 Fujifilm Corporation Positive photosensitive resin composition, method for forming cured film, cured film, organic el display device and liquid crystal display device

Also Published As

Publication number Publication date
TW201809877A (en) 2018-03-16
US20170017158A1 (en) 2017-01-19
CN111474823A (en) 2020-07-31
TW201705200A (en) 2017-02-01
CN106353969A (en) 2017-01-25
TWI606486B (en) 2017-11-21
US10394126B2 (en) 2019-08-27
KR101829961B1 (en) 2018-02-19
KR20170009689A (en) 2017-01-25
CN106353969B (en) 2020-05-08
CN111474823B (en) 2023-03-31

Similar Documents

Publication Publication Date Title
TWI449084B (en) Methods of forming electronic devices
TWI476816B (en) Self-aligned spacer multiple patterning methods
KR100811431B1 (en) Method of Manufacturing Semiconductor Device
TWI648596B (en) Material for lithography
JP6738048B2 (en) Stepped substrate coating composition having photocrosslinking group
JP2001109165A (en) Performance forming method
JP2011065136A5 (en)
JP6997416B2 (en) Stepped substrate coating composition containing a compound having a photocrosslinking group due to an unsaturated bond between carbon atoms
WO2008047719A1 (en) Method for formation of miniaturized pattern and resist substrate treatment solution for use in the method
US20100273321A1 (en) Wet soluble lithography
CN103365076A (en) Photosensitive material and photolithography method
JP2023072048A (en) Stepped substrate coating composition containing compound having curable functional group
JPH0792678A (en) Resist composition
JP7208591B2 (en) Photocurable stepped substrate coating composition containing crosslinkable compound
KR20110112727A (en) Method of fabricating a pattern in semiconductor device using double patterning technology
US20070092843A1 (en) Method to prevent anti-assist feature and side lobe from printing out
Petrillo et al. Resist process applications to improve EUV patterning
CN112799280A (en) Application of nitrobenzyl alcohol sulfonate compound as accelerator in photoresist and composition for preparing photoresist
Hu Photolithography technology in electronic fabrication
KR960015640B1 (en) Photoresist pattern forming method
KR102235610B1 (en) Composition for forming topcoat layer and resist pattern formation method employing the same
KR100811404B1 (en) Phase Shift Mask for Performing Exposure Process using Extreme Ultra-Violet Light Source and Method for Manufacturing the its
WO2018155377A1 (en) Film-forming material for resist process, pattern-forming method, and polysiloxane
KR20010037049A (en) Lithography method using silylation
KR20190070919A (en) An aqueous solution for coating a resist pattern and a method for forming a pattern using the same