KR101829961B1 - 포토리소그래피 공정 및 물질 - Google Patents

포토리소그래피 공정 및 물질 Download PDF

Info

Publication number
KR101829961B1
KR101829961B1 KR1020150168938A KR20150168938A KR101829961B1 KR 101829961 B1 KR101829961 B1 KR 101829961B1 KR 1020150168938 A KR1020150168938 A KR 1020150168938A KR 20150168938 A KR20150168938 A KR 20150168938A KR 101829961 B1 KR101829961 B1 KR 101829961B1
Authority
KR
South Korea
Prior art keywords
photoresist layer
group
curing agent
applying
region
Prior art date
Application number
KR1020150168938A
Other languages
English (en)
Other versions
KR20170009689A (ko
Inventor
야링 쳉
칭유 창
치엔웨이 왕
옌하오 첸
Original Assignee
타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 filed Critical 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Publication of KR20170009689A publication Critical patent/KR20170009689A/ko
Application granted granted Critical
Publication of KR101829961B1 publication Critical patent/KR101829961B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0035Multiple processes, e.g. applying a further resist layer on an already in a previously step, processed pattern or textured surface
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • G03F7/322Aqueous alkaline compositions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • G03F7/405Treatment with inorganic or organometallic reagents after imagewise removal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Organic Chemistry (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Materials For Photolithography (AREA)
  • Engineering & Computer Science (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)

Abstract

본 발명개시의 폭넓은 유형들 중 하나는 반도체 디바이스를 제조하는 방법에 관한 것이다. 방법은 방사선 소스에 포토레지스트층을 노출시키는 단계 및 상기 포토레지스트층에 경화제를 도포하는 단계를 포함한다. 그러므로, 경화제를 도포하는 단계 이후에, 포토레지스트층의 제 1 부분이 포토레지스트층의 제 2 부분보다 높은 유리 전이 온도, 높은 기계적 강도를 갖는다.

Description

포토리소그래피 공정 및 물질{PHOTOLITHOGRAPHY PROCESS AND MATERIALS}
반도체 집적 회로(IC) 산업은 지난 수십년간 급속한 성장을 이루었다. 반도체 물질 및 설계에서 기술적인 발전은 점점 더 작고 더 복잡한 회로를 생산했다. 처리 및 제조에 관련된 기술들이 또한 기술적 발전을 겪었기 때문에 이러한 물질 및 설계의 발전이 가능하게 되었다. 가장 작은 컴포넌트의 크기가 감소함에 따라, 패턴 붕괴, 패턴 박리 및 두께 손실을 줄이기 위한 도전과제가 나타난다.
본 발명개시의 폭넓은 유형들 중 하나는 반도체 디바이스를 제조하는 방법에 관한 것이다. 방법은 방사선 소스에 포토레지스트층을 노출시키는 단계 및 상기 포토레지스트층에 경화제를 도포하는 단계를 포함한다. 그러므로, 경화제를 도포하는 단계 이후에, 포토레지스트층의 제 1 부분이 포토레지스트층의 제 2 부분보다 높은 유리 전이 온도, 높은 기계적 강도를 갖는다.
본 발명개시의 양태들은 첨부 도면들과 함께 아래의 상세한 설명을 읽음으로써 가장 잘 이해된다. 본 산업계에서의 표준적인 실시에 따라, 다양한 피처(feature)들은 실척도로 도시되지 않았음을 유념한다. 사실, 예시된 피처들의 치수는 설명의 명료함을 위해 임의적으로 증가되거나 또는 감소될 수 있다.
도 1은 본 명세서에 기술된 원리들의 일례에 따라, 예시적인 포토레지스트 노광 공정을 도시하는 도면이다.
도 2는 본 발명개시의 다양한 양태들에 따라 예시적인 실시예에서, 반도체 디바이스를 제조하기 위한 방법의 흐름도이다.
도 3 및 도 4a는 도 2의 방법에 따라 구성된 다양한 제조 단계에서의 반도체 디바이스의 횡단면도이다.
도 4b, 도 4c, 도 4d 내지 도 4f는 일부 실시예들에 따라 구성된 경화제를 나타낸다.
도 5, 도 6a 및 도 6b는 도 2의 방법에 따라 구성된 다양한 제조 단계에서의 반도체 디바이스의 횡단면도이다.
도 7은 본 발명개시의 다양한 양태들에 따라 예시적인 실시예에서, 반도체 디바이스를 제조하기 위한 방법의 흐름도이다.
도 8a, 도 8b, 도 9a, 도 9b, 도 9c 및 도 9d는 도 7의 방법에 따라 구성된 다양한 제조 단계에서의 반도체 디바이스의 횡단면도이다.
도 10a, 도 10b, 도 10c, 및 도 10d는 일부 실시예들에 따라 구성된 평활화제를 나타낸다.
다음의 발명개시는 다양한 실시예들의 상이한 피처들을 구현하기 위한 다수의 상이한 실시예들, 또는 예들을 제공한다는 것을 이해한다. 컴포넌트 및 배치의 특정한 예들은 본 발명개시를 단순화하기 위해 이하에 설명된다. 물론, 이러한 설명은 단지 예일 뿐 제한하기 위한 것이 아니다. 예를 들어, 이어지는 설명에서 제 2 피처 위에 또는 제 2 피처 상에 제 1 피처의 형성은, 제 1 피처 및 제 2 피처가 직접 접촉하여 형성되는 실시예들을 포함할 수 있고, 제 1 피처 및 제 2 피처가 직접 접촉하지 않도록 제 1 피처와 제 2 피처 사이에 추가의 피처들이 형성되는 실시예들을 또한 포함할 수 있다. 게다가, 본 발명개시는 다양한 예들에서 참조 번호 및/또는 문자를 반복할 수 있다. 이러한 반복은 간략함과 명료함을 위한 것으로, 그 자체가 논의된 다양한 실시예들 및/또는 구성들 사이의 관계를 지시하지 않는다.
본 발명개시는 반도체 디바이스를 제조하기 위한 리소그래피 방법을 제공한다. 용어 리소그래피, 액침 리소그래피, 포토리소그래피 및 광학 리소그래피는 본 발명개시에서 상호 교환적으로 이용될 수 있다. 포토리소그래피는 박막 또는 기판의 일부분을 선택적으로 제거하기 위해, 반도체 제조와 같은, 마이크로 제조에 이용되는 공정이다. 이 공정은 포토마스크로부터 기판 상의 감광성층(예컨대, 포토레지스트, 또는 단순히 "레지스트")에 패턴(예컨대, 기하학적 패턴)을 전달하기 위해 빛을 이용한다. 이러한 빛은 감광성층의 노출된 영역에 화학적 변화를 야기시키고, 이는 노출된 영역의 용해도를 증가시키거나 또는 감소시킬 수 있다. 노출된 영역이 더욱 가용성이 되면, 감광성층은 포지티브 포토레지스트로서 언급된다. 노출된 영역이 덜 가용성이 되면, 감광성층은 네거티프 포토레지스트로서 언급된다. 포스트 노광 베이킹(post-exposure baking) 공정과 같은, 베이킹 공정이 기판을 노출시키기 전에 또는 기판을 노출시킨 이후에 수행될 수 있다. 현상 공정이 노출되거나 비노출된 영역을 현상액으로 선택적으로 제거하여, 기판 위에 노광 패턴을 생성한다. 그런 다음, 일련의 화학적 처리가 노광 패턴을 기판(또는 물질층)으로 새기거나/에칭할 수 있고, 패턴화된 포토레지스트는 밑에 있는 기판(또는 물질층)의 영역을 보호한다. 대안적으로, 금속 퇴적, 이온 주입, 또는 다른 공정이 수행될 수 있다. 마지막으로, 적절한 시약이 남아 있는 포토레지스트를 제거(또는 스트리핑)하고, 기판은 다음 단계의 회로 제조를 위해 전체 공정이 반복되도록 준비된다. 복잡한 집적 회로(예를 들어, 최신 CMOS)에서, 기판은 포토리소그래피 주기를 여러번 거칠 수 있다.
도 1은 예시적인 포토레지스트 노광 공정(100)을 도시하는 도면이다. 공정(100)은 기판(110) 위에 포토레지스트층(120)을 코팅하는 것을 포함한다. 일부 실시예들에서, 기판(110)은 실리콘을 포함한다. 대안적으로 또는 부가적으로, 기판(110)은 게르마늄(Ge), 실리콘 게르마늄(SiGe), 실리콘 탄화물(SiC), 갈륨 비화물(GaAs), 다이아몬드, 인듐 비화물(InAs), 인듐 인화물(InP), 실리콘 게르마늄 탄화물(SiGeC), 및 갈륨 인듐 인화물(GaInP)과 같은 다른 적합한 반도체 물질을 포함할 수 있다. 기판(110)은 또한 다양한 도핑 영역, 쉘로우 트렌치 분리(shallow trench isolation; STI) 영역, 소스/드레인 피처, 게이트 스택, 유전체 피처, 및/또는 멀티레벨 상호 접속부와 같은 다양한 피처들을 포함할 수 있다.
그런 다음, 포토레지스트층(120)은 포토마스크(마스크 또는 레티클)(140)를 통해, 광원(130)으로부터 방사선빔(135)에 노출된다. 포토마스크(140)는 미리 정의된 패턴을 갖는다. 노광 공정은 노출된 피처와 같은 복수의 노출된 영역 및 복수의 비노출된 영역을 포함하는 포토레지스트 패턴을 야기할 것이다. 도 1은 변화하는 음영의 포토레지스트층(120)을 나타낸다. 영역(120A)은 광원(130)으로부터 차단되어 어떠한 산(acid)도 그 안에 생성되지 않은 영역을 나타낸다. 반대로, 영역(120B)은 광원에 노출되어서, 이에 의해, 영역(120B) 내에 산 생성 화학 반응을 야기시키는 영역을 나타낸다. 광원(130)은 심자외선(deep ultra-violet; DUV) 소스를 포함하는 다양한 소스일 수 있다. 일례에서, 광원(130)은 극자외선(extreme ultraviolet; EUV) 광원일 수 있다. 일부 예들에서, 전자 빔(e-빔) 기록과 같은 다른 광원(130)이 있을 수 있다. 대안적으로, 노광 공정은 이온 빔, x-선, 및 다른 적절한 노광 에너지와 같은 다른 방사선 빔을 이용할 수 있다. 부가적으로, 포토레지스트(120)의 프리-베이크(pre-bake)가 포토레지스트(120)를 경화시키고 건조시키기 위해서 노광 공정 전에 수행될 수 있다.
노광 동안에, 포토레지스트층(120)이 포지티브 톤 포토레지스트인 경우, 포토레지스트층(120)의 용해도는 증가된다(즉, 산이 산 절단성 폴리머를 절단하여, 더욱 친수성이 되는 폴리머를 야기할 것이다). 대안적으로, 포토레지스트층(120)이 네거티브 톤 포토레지스트인 경우, 포토레지스트층(120)의 용해도는 감소된다(즉, 산이 산 촉매 가교성 폴리머에 촉매 작용을 미쳐서, 더욱 수소성이되는 폴리머를 야기할 것이다). 부가적으로, 포토레지스트층(120)은 포스트 노광 베이크(post-exposure bake; PEB)를 받을 수 있고, 그런 다음, 포토레지스트층(120)에 패턴을 형성하기 위해 임의의 적합한 공정에 의해 현상될 수 있다.
그 뒤에, 현상액이 포토레지스트층(120)의 일부분을 제거하기 위해 이용될 수 있다. 현상액은 레지스트 타입(예컨대, 포지티브 톤 또는 네거티브 톤)에 따라 노출된 부분 또는 비노출된 부분을 제거할 수 있다. 포토레지스트층(120)이 네거티브 타입 레지스트를 포함하면, 노출된 부분은 현상액에 의해 용해되지 않고 기판 위에 남아 있다. 포토레지스트층(120)이 포지티브 타입 레지스트이면, 노출된 부분이 포지티브 톤 현상액에 의해 용해되어, 비노출된 부분을 뒤에 남긴다. 포토레지스트층(120)이 네거티브 톤 현상액에 의해 현상되는 포지티브 타입 레지스트이면, 비노출된 부분은 용해되어 노출된 부분을 남길 것이다. 남아 있는 노출된 부분(또는 비노출된 부분)은 패턴을 정의한다.
리소그래피의 기존 방법은 일반적으로 자신의 의도된 목적에는 적절하지만, 모든 면에서 완전히 만족스러운 것은 아니다. 예를 들어, 포토레지스트층(120)이 히드록실기(예컨대, -OH) 또는 카르복실산기(예컨대, -COOH)와 같은 알칼리 수용액 가용성 성분을 포함하는 경우, 비노출된 패턴은 현상액에 의해 현상될 때 부분적으로 용해된다. 이러한 문제는 팽윤(swelling)으로 언급되고, 불량안 라인-폭-변동, 막 손실, 및 포토레지스트 패턴 충돌을 야기한다. 본 발명개시는 불량한 라인-폭-변동, 막 손실, 및 포토레지스트 패턴 충돌을 줄이기 위해 경화 처리를 이용하는 리소그래피 공정을 제공한다.
도 2는 일부 실시예들에 따라 구성된 본 발명개시의 양태들에 따라 반도체 디바이스(300)를 제조하는 방법(200)의 흐름도를 나타낸다. 본 발명개시는 다양한 실시예들에서 참조 번호 및/또는 문자를 반복한다. 이러한 반복은 달리 명시되지 않는 한, 반복된 참조 번호 및/또는 문자는 다양한 실시예들 사이에서 유사한 피처들을 나타내도록 간략함과 명료함을 위한 것이다.
도 2 및 도 3을 참조하면, 방법(200)은, 예컨대, 스핀 코팅 기술을 이용하여, 기판(110) 위에, 포토레지스트층(120)과 같은, 감광성층을 퇴적함으로써 단계(202)에서 시작한다. 그런 다음, 방법(200)은 방사선 빔에 포토레지스트층(120)을 노출시킴으로써 단계(204)로 진행한다. 포토레지스트층(120)은 미리 정의된 패턴을 갖는 포토마스크(마스크 또는 레티클)(140)를 통해, 광원(예컨대, 광원(130))으로부터 방사선 빔(예컨대, 방사선 빔(135))에 노출된다. 노광 공정은 복수의 노출된 영역(310) 및 복수의 비노출된 영역(320)을 포함하는 잠상(또는 패턴)을 포토레지스트층(120)에 형성한다. 도 3은 변화하는 음영의 포토레지스트층(120)을 나타낸다. 밝은 색 영역(120A)은 광원(130)으로부터 차단되어 어떠한 산도 생성되지 않는 비노출된 영역(320)을 나타내지만, 어두운 색 영역(120B)은 산 생성 화학 반응을 야기시키는 노출된 영역(310)을 나타낸다. 노출된 영역(310)에서, 방사선 빔(135)은 포토레지스층(120)에 도달하여 노출된 영역(310)과 비노출된 영역(320) 사이에 효과적인 용해도 전환을 생성한다.
방법(200)은 접미사 "A" 및 "B"로 각각 식별되는, 단계(204) 이후의 두 가지 경로를 갖는다. 이러한 두 가지 경로는 아래에 별도로 논의된다. 도 2 및 도 4a를 참조하면, 경로(A)의 경우, 방법(200)은 포토레지스트층(120)에 경화제(410)를 이용하여 경화 처리를 적용함으로써 단계(206A)로 진행한다. 경화 처리는 습식 공정 장소에서 또는 챔버에서 수행되는 경화제(410)와 혼합하는 수용액을 이용하는 습식 처리를 포함할 수 있다. 경화제(410)의 농도는 수용액의 대략 0.1 % 내지 대략 50 %의 범위에 있다.
경화 처리 동안, 경화제(410)는 포토레지스트층(120)의 상부 부분과 반응한다. 구체적으로, 경화제는 포토레지스트층(120)의 상부 부분과 반응하여 상부 부분의 표면 밀도, 유리 전이 온도(Tg), 또는 기계적 강도를 증가시켜, 이에 의해, 경화된 상부층(120L)을 형성한다. 그러므로, 경화된 상부층(120L)은 경화된 상부층(120L) 바로 아래에 배치된 포토레지스트층의 제 2 부분보다 더 높은 유리 전이 온도를 갖는다.
일례에서, 경화제(410)는 도 4b에 도시된 화학 구조를 갖고, 이는 브래킷 사이에 적어도 제 1 스페이서기(Rf1)를 포함한다. 제 1 스페이서기(Rf1)는 방향족 탄소환, 또는 선형 또는 환형 알킬, 알콕실, 플루오로알킬, 플루오로알콕실, 알켄, 알킨, 히드록실, 케톤, 알데히드, 카르보네이트, 카르복실산, 에스테르, 에테르, 아미드, 아민, 이민, 이미드, 아지드, 니트레이트, 니트릴, 니트라이트, 또는 탄소 사슬 1~12 개를 갖는 티올 스페이서기를 포함할 수 있다. 제 1 스페이서기(Rf1)는 극성기[(P)y]와 연결되고, 여기서 y는 적어도 2의 정수이다. 극성기(P)는 -Cl, -Br, -I, - NO2, -SO3-, -H-, -CN, -NCO, -OCN, -CO2-, -OH, -OR*, -OC(O)CR*, -SR, -SO2N(R*)2, -SO2R*, SOR, -OC(O)R*, -C(O)OR*, -C(O)R*, -Si(OR*)3, -Si(R*)3, 에폭실기를 포함할 수 있고, 여기서 R*은 H, 비분지 또는 분지, 환형 또는 비환형 포화 또는 불포화 알킬기 또는 알켄닐기 또는 알키닐기이다.
다른 예에서, 경화제(410)는 도 4c에 도시된 다른 화학 구조를 갖고, 이는 연결기[(L)z]와 연결된 브래킷 사이에 제 1 스페이서기(Rf1)를 포함하고, 여기서 z는 적어도 2의 정수이다. 연결기(L)는 -NH2, -OH, -SH, -COOH, -COH -COOR, OCOR, COR 무수물, 에폭시기, en 기, R'OR, R'OOR, R'OSOOR, RX를 포함할 수 있고, 여기서 R*은 H, 비분지 또는 분지, 환형 또는 비환형 포화 또는 불포화 알킬기 또는 알켄닐기 또는 알키닐기이다. X는 할로겐화물이다.
경화제(410)는 또한 계면 활성제를 포함할 수 있다. 일부 실시예에서, 계면 활성제의 농도는 수용액의 대략 0.1 % 내지 대략 10 %의 범위에 있다.
경화제(410)는 또한 용매 또는 수용액을 포함할 수 있다. 일부 실시예에서, 경화제(410)의 농도는 용매의 대략 0.1 % 내지 대략 50 %의 범위에 있다.
경화제(410)의 특정 예로는 도 4d 내지 도 4f에 각각 도시된, 안트라센-1, 8-디카르복실산, 디 에탄올아민 및 아세톤-1, 3-디카르복실산, 에틸렌디아민을 포함하지만 이들로 한정되는 것은 아니다. 경화제(410)의 다른 형태가 본 명세서에 기술된 원리에 따라 이용될 수 있다.
일부 실시예들에서, 경화제(410)의 극성기[(P)y]는 경화된 외층(120L)을 형성하기 위해 포토레지스트층(120)의 상부 부분의 가용성 작용기(예컨대, -OH 또는 -COOH)에 의해 흡수되거나, 반응한다. 일부 실시예들에서, 경화된 상부층(120L)은 경화제(410)와 포토레지스트층(120)의 상부 부분 사이의 반데르발스힘, 수소 결합, 전자 힘 및 이온 힘과 같은 분자간 힘에 의해 형성된다. 예를 들어, 극성기[(P)y]가 히드록실기를 함유하고, 포토레지스트층(120) 표면이 카르복실산기를 함유하는 경우, 히드록실 유닛은 서로 간의 강한 수소 결합으로 인해 카르복실산기에 의해 흡수되는 경향이 있다.
일부 실시예들에서, 경화된 상부층(120L)은 알킬화, 축합, 카르복실화, 에스테르화 및/또는 아미드화 반응과 같은 공유 결합 형성에 의해 형성된다. 예를 들어, 연결기[(L)z]가 히드록실기를 함유하고, 포토레지스트층(120)이 페놀기를 함유하는 경우, 히드록실기는 경화제(410)와 포토레지스트 층(120)의 외층 사이에 공유 결합을 형성하기 위해 페놀기와 반응하는 경향이 있다.
도 2 및 도 5를 참조하면, 경로(A)를 따라 계속되는 방법(200)은 포토레지스트 피처(510)를 형성하기 위해 경화된 상부층(120L)을 갖는 포토레지스트층(120)을 현상함으로써 단계(208A)로 진행한다. 현상액이 포토레지스트층(120)의 일부분을 제거하기 위해 이용될 수 있다. 현상액의 예에는 수산화테트라메틸암모늄(tetramethylammonium hydroxide; TMAH)이 있다. 대략 2.38 % TMAH 현상액과 같은 임의의 농도 레벨의 TMAH 현상액이 이용될 수 있다. 현상액은 레지스트 타입에 따라 노출된 부분 또는 비노출된 부분을 제거할 수 있다. 예를 들어, 포토레지스트층(120)이 네거티브 타입 레지스트를 포함하면, 노출된 부분은 현상액에 의해 용해되지 않고 기판(110) 위에 남아 있다. 포토레지스트층(120)이 포지티브 타입 레지스트을 포함하면, 노출된 부분이 현상액에 의해 용해되어, 비노출된 부분을 뒤에 남긴다. 그런 다음, 반도체 디바이스(300)는 초순수(de-ionized (DI) water) 세정과 같은 세정 공정을 받을 수 있다. 세정 공정은 잔여 입자를 제거할 수 있다. 부가적으로, 포토레지스트층(120)의 현상 전에, 포스트 노광 베이크(post-exposure bake; PEB)가 수행된다.
현상 공정 동안, 경화된 상부층(120L)은 현상액(예컨대, TMAH)이 포토레지스트층(120)을 공격하는 것을 늦춘다. 경화된 상부층(120L)이 포토레지스트층(120)의 현상을 늦추기 때문에, 포토레지스트 피처(510)의 형성 동안, 막이 적게 손실되고, 라인-폭-변화가 적게 발생한다.
앞서 논의된 바와 같이, 방법(200)은 접미사 "A" 및 "B"로 각각 식별되는, 단계(204) 이후의 두 가지 경로를 갖는다. 이제 경로(B)로 전환하여, 도 2 및 도 6a 및 도 6b를 참조하면, 방법(200)은 포토레지스트 피처(510)를 형성하기 위해 경화제(410)를 이용하여 인시츄(in situ) 경화 처리와 함께 포토레지스트층(120)을 현상함으로써 단계(206B)로 진행한다. 인시츄 경화 처리는, 예컨대, 블랜딩에 의해 경화제(410)를 현상액에 통합하는 것을 포함할 수 있다. 즉, 경로(B) 하에서, 포토레지스트층(120)을 현상하기 전에 경화제(410)의 어떠한 분리 적용도 존재하지 않는다. 대신에, 경화제(410)는 포토레지스트층(420)에 도포되는 현상액의 일부이다.
현상 공정 동안, 경화제(410)는 포토레지스트층(120)에 의해 흡수되거나 및/또는 이에 반응하고, 이는 도 4a에 관련하여 앞서 논의된 것과 여러 면에서 유사하다. 멀티 극성기(P)를 갖추면, 경화제(410)는 포토레지스트층(120)의 상부층에 있는 작용기(예컨대, -OH 또는 -COOH)에 의해 흡수되거나 및/또는 이에 반응한다. 경화제(410)는 포토레지스트층(120)의 표면이 현상액에 낮은 친화성을 갖게 함으로써 포토레지스트층(120) 상의 현상액(예컨대, TMAH)의 공격을 늦춘다. 이것은 포토레지스트 피처(510)의 기계적 강도의 증가를 야기시키고, 이에 의해, 포토레지스트 피처(510)의 붕괴를 완화시킨다.
추가의 단계들이 상기 방법(200) 이전에, 방법 동안에, 그리고 방법 이후에 구현될 수 있고, 앞서 기술된 일부 단계들은 상기 방법(200)의 다른 실시예들을 위해 교체되거나 제거될 수 있다. 예를 들어, 경화 공정이 경로(A)에 대해서 단계(206A) 이후 단계(208A) 이전에 수행된다. 경화 공정은 자외선(UV) 경화, 플라즈마 경화, 방사선 경화, 베이킹, 또는 임의의 적절한 공정을 포함할 수 있다.
도 7은 반도체 디바이스(300)를 제조하는 다른 리소그래피 공정에 대한 예시적인 방법(1000)의 흐름도이다. 본 발명개시는 다양한 실시예들에서 참조 번호 및/또는 문자를 반복한다. 이러한 반복은 달리 명시되지 않는 한, 반복된 참조 번호 및/또는 문자는 다양한 실시예들 사이에서 유사한 피처들을 나타내도록 간략함과 명료함을 위한 것이다. 방법(1000)은 방법(200)의 단계들(202 및 204)과 유사한 단계들(1002 및 1004)로 시작한다. 간략함과 명료함을 위해, 단계들(202 및 204)에 대한 상기 설명은 단계들(1002 및 1004)에 각각 적용 가능하고, 여기서 다시 반복하지 않을 것이다.
다음으로, 도 7 및 도 8a를 참조하면, 방법(1000)은 포토레지스트 피처(1200)를 형성하기 위해 포토레지스트층(120)을 현상함으로써 단계(1006)로 진행한다. 현상 공정은 도 5와 관련하여 앞서 논의된 것과 여러 면에서 유사할 수 있다. 앞서 논의된 바와 같이, 포토레지스트층(120)은 보통 히드록실기(예컨대, -OH) 또는 카르복실산기(예컨대, -COOH)와 같은 일부 알칼리 수용액 가용성기를 함유한다. 현상 공정 동안, 이러한 알칼리 수용액 가용성기는 때때로 패턴의 비노출된 부분들이 현상액에 의해 부분적으로 용해(또는 현상액에 의해 팽윤)되도록 야기한다. 포토레지스트층(120)의 비노출된 부분의 이러한 의도하지 않은 부분적 용해(또는 팽윤)은 도 8b에 도시된 바와 같은, 물결형 프로파일/측벽(1200S)을 갖는 포토레지스트 피처(1200)를 야기한다. 본 발명개시는 포토레지스트 피처(1200)의 물결형 프로파일의 정도를 감소시키기 위해 조절 가능한 평활화 공정을 이용하는 방법을 제공한다.
도 7 및 도 9a 내지 도 9b를 참조하면, 방법(1000)은 물결형 프로파일의 정도를 감소시키기 위해 포토레지스트 피처(1200)에 조절 가능한 평활화 공정을 적용함으로써 단계(1008)로 진행한다. 조절 가능한 평활화 공정은 포토레지스트 피처(1200)에 경화제(410) 및 평활화제(1300)를 도포하는 것을 포함한다. 본 실시예에서, 평활화제(1300)는 포토레지스트층(1200)을 부드럽게 하기 위해 포토레지스트층(120)의 유리 전이 온도(Tg)를 줄이도록 선택된다. 이러한 연화 공정은 측벽 프로파일(1200S)을 평탄화한다. 그 동안에, 경화제(410)는 포토레지스트층(120)을 경화시켜 포토레지스트 피처(1200)가 붕괴되는 것을 방지하기 위해 포토레지스트층(120)의 유리 전이 온도(Tg), 또는 기계적 강도를 증가시킨다.
임계 치수 및 종횡비와 같은 포토레지스트 피처(1200)의 특성을 고려하여, 평활화제(1300) 및 경화제(410)의 적절한 균형이 선택되어 도 9b에 도시된 바와 같이 포토레지스트 피처(1200)의 붕괴 없이 물결형 측벽 프로파일의 정도를 감소시켜 평활화된 프로파일(1200T)을 생성하는 것을 달성한다. 본 실시예에서, 평활화제(1300)의 분자량은 150 dalton 내지 3000 dalton의 범위에 있다.
일부 실시예들에서, 경화제(410) 및 평활화제(1300)는 도 9a에 도시된 바와 같이, 예컨대, 이들을 함께 블렌딩함으로써, 포토레지스트 피처(1200)에 동시에 도포된다. 경화제(410)는 포토레지스트층(120)의 표면에 높은 친화성을 갖는 높은 극성 작용기(P)로 인해 우선 포토레지스트층(120) 상에서 흡수하는 경향이 있다. 일부 실시예에서, 경화제(410) 및 평활화제(1300)는 도 9c 및 도 9d에 도시된 바와 같이, 경화제(410)가 먼저 포토레지스트 피처(1200)에 도포되고, 평활화제(1300)가 다음으로 도포되도록, 별도로 도포된다. 그런 다음, 경화제(410) 및 평활화제(1300) 양자 모두는 조절 가능한 평활화 공정 동안에 인시츄 제거된다.
평활화제(1300)는 브래킷 사이에 탄호 포함기[(C)m]에 대한 제 2 스페이서기(Rf2)의 연결을 포함하는 도 10a에 도시된 일반적일 구조를 갖는다. 제 2 스페이서기(Rf2)는 방향족 탄소환, 또는 탄소 사슬 1~4 개를 갖는 선형 또는 환형 알킬/알콕실/플루오로알킬 플루오로알콕실 사슬, 또는 선형 또는 환형 알켄, 알킨, 히드록실, 케톤, 알데히드, 카르보네이트, 카르복실산, 에스테르, 에테르, 아미드, 아민, 이민, 이미드, 아지드, 니트레이트, 니트릴, 니트라이트 또는 탄소 사슬 1~4 개를 갖는 티올 스페이서기, -Cl, -Br, -I, - NO2, -SO3-, -H-, -CN, -NCO, -OCN, -CO2-, -OH, -OR*, -OC(O)CR*, -SR, -SO2N(R*)2, -SO2R*, SOR, -OC(O)R*, -C(O)OR*, -C(O)R*, -Si(OR*)3, -Si(R*)3, 에폭실기를 갖고, 여기서, R*은 H, 비분지 또는 분지, 환형 또는 비환형 포화 또는 불포화 알킬기 또는 알켄닐기 또는 알키닐기이다. 여기서 m과 n은 두 개의 정수이다. 일부 실시예들에서, m은 1 내지 6 이고, n은 1 내지 20이다.
도 10a를 다시 참조하면, 탄소 포함기[(C)m]는 반응기(Re)에 연결된다. 반응기(Re)는 H, OH, 할로겐화물 또는 방향족 탄소환, 또는 선형 또는 환형 알킬, 알콕실, 플루오로알킬, 플루오로알콕실, 알켄, 알킨, 히드록실, 케톤, 알데히드, 카르보네이트, 카르복실산, 에스테르, 에테르, 아미드, 아민, 이민, 이미드, 아지드, 니트레이트, 니트릴, 니트라이트 또는 탄소 사슬 1~12 개를 갖는 티올 스페이서기를 포함할 수 있다.
제 2 스페이서기(Rf2) 및 반응기(Re)는 또한 -Cl, -Br, -I, - NO2, -SO3-, -H-, -CN, -NCO, -OCN, -CO2-, -OH, -OR*, -OC(O)CR*, -SR, -SO2N(R*)2, -SO2R*, SOR, -OC(O)R*, -C(O)OR*, -C(O)R*, -Si(OR*)3, -Si(R*)3, 에폭실기를 함유할 수 있고, 여기서 R*은 H, 비분지 또는 분지, 환형 또는 비환형 포화 또는 불포화 알킬기 또는 알켄닐기 또는 알키닐기이다.
평활화제(1300)는 브래킷 사이에 방향족 포함기(Ar)에 대한 제 2 스페이서기(Rf2)의 연결을 포함하는 도 10b에 도시된 다른 화학 구조를 가질 수 있다. Ar 기는 2 내지 16 개의 범위의 탄소 수를 갖는 불포화 탄화수소를 포함할 수 있다. Ar 기는 반응기(Re)에 연결된다.
평활화제(1300)는 또한 브래킷 사이에 탄소 포함기[(C)m] 및 반응기(Re)에 대한 제 2 스페이서기(Rf2)의 연결을 포함하는 도 10c에 도시된 또 다른 화학 구조를 가질 수 있다. 브래킷 사이의 반응기(Re)는 극성기(P)와 결합된다. 탄소 포함기[(C)m]는 두 개의 다른 반응기(Re)에 연결된다.
평활화제(1300)는 또한 브래킷 사이에 Ar 기 및 반응기(Re)에 대한 제 2 스페이서기(Rf2)의 연결을 포함하는 도 10d에 도시된 또 다른 화학 구조를 가질 수 있다. 브래킷 사이에서, 반응기(Re)는 두 개의 극성기(P)에 연결된다. Ar 기는 두 개의 다른 반응기(Re)에 연결된다. 추가의 단계들이 상기 방법(1000) 이전에, 방법 동안에, 그리고 방법 이후에 구현될 수 있고, 앞서 기술된 일부 단계들은 상기 방법(1000)의 다른 실시예들을 위해 교체되거나 제거될 수 있다. 예를 들어, 단계(1008) 이후에, 경화 공정이 평활화 효과를 향상시키기 위해 포토레지스트 피처(1200)에 적용된다. 경화 공정은 자외선(UV) 경화, 플라즈마 경화, 방사선 경화, 베이킹, 또는 임의의 적절한 공정을 포함할 수 있다. 예를 들어, 단계(1008) 이후에, 방법(1000)의 다른 단계가 평활화 효과를 향상시키기 위해 제 2 현상 공정을 수행하는 것을 포함할 수 있다.
상기에 기초하여, 본 발명개시는 리소그래피 공정을 위한 방법을 제공한다. 방법은 포토레지스트층에 경화 처리를 적용하고 포토레지스트 피처에 조절 가능한 평활화 처리를 적용하는 단계를 포함한다. 방법은 LWR, 포토레지스트 피처 붕괴 및 막 손실의 감소를 보여준다. 조절 가능한 평활화 처리는 포토레지스트 피처의 측벽 프로파일의 평활화를 달성하면서 포토레지스트의 기계적 특성도 강화한다.
본 발명개시는 반도체 디바이스를 제조하는 방법에 관한 것이다. 방법은 방사선 소스에 포토레지스트층을 노출시키는 단계 및 상기 포토레지스트층에 경화제를 도포하는 단계를 포함한다. 그러므로, 경화제를 도포하는 단계 이후에, 포토레지스트층의 제 1 부분이 포토레지스트층의 제 2 부분보다 높은 유리 전이 온도, 또는 높은 기계적 강도를 갖는다.
반도체 디바이스를 제조하는 다른 방법은 방사선 소스에 포토레지스트층을 노출시키는 단계, 피처를 형성하기 위해 상기 포토레지스트층을 현상하는 단계, 상기 피처에 경화제를 도포하는 단계로서, 상기 경화제는 상기 피처의 유리 전이 온도를 증가시키는 것인, 경화제를 도포하는 단계; 및 상기 피처에 평활화제를 도포하는 단계로서, 상기 평활화제는 상기 피처의 상기 유리 전이 온도를 감소시키는 것인, 평활화제를 도포하는 단계를 포함한다.
포토리소그래피 공정에 이용되는 물질은 대략 150 Dalton 내지 대략 3000 Dalton 사이의 범위에 이르는 분자량을 갖는 물질을 포함한다. 물질은 스페이서기(Rf), 탄소 포함기 및 반응기, 또는 스페이서기(Rf), 방향족 포함기 및 반응기, 또는 스페이서기(Rf), 탄소 포함기, 극성기 및 반응기, 또는 스페이서기(Rf), 방향족 포함기, 극성기 및 반응기를 포함한다.
전술한 것은 몇몇 실시예들의 특징들을 설명하였다. 당업자는 본 명세서에 도입된 실시예들의 동일한 이점들을 달성 및/또는 동일한 목적을 수행하는 구조 및 다른 공정을 설계 또는 수정하기 위한 기본으로서 본 발명개시를 용이하게 이용할 수 있음을 이해해야 한다. 당업자는 또한, 등가 구조물이 본 발명개시의 사상과 범위로부터 벗어나지 않도록 실현해야 하며, 본 발명개시의 사상과 범위로부터 벗어나지 않고 여기에서 다양한 변경, 대체 및 변화를 행할 수 있다.

Claims (10)

  1. 포토리소그래피 공정을 수행하는 방법에 있어서,
    방사선 소스에 포토레지스트층을 노출시키는 단계로서, 상기 포토레지스트층은 노출된 영역과 비노출된 영역을 포함하는 것인, 상기 포토레지스트층을 노출시키는 단계;
    상기 포토레지스트층의 상부 부분과 반응시키기 위해 경화제를 도포하는 단계로서, 상기 경화제를 도포하여 상기 포토레지스트층의 하부 부분보다 높은 유리 전이 온도(Tg), 또는 높은 기계적 강도를 갖는 상기 포토레지스트층의 상기 상부 부분을 형성하는 것인, 상기 경화제를 도포하는 단계; 및
    상기 경화제를 도포하는 단계 전에 수행된 상기 노출에 기초하여, 상기 노출된 영역 및 상기 비노출된 영역 중 하나의 영역을 제거하기 위해 상기 포토레지스트층을 현상하는 단계를 포함하고,
    상기 노출된 영역 및 상기 비노출된 영역 중 다른 하나의 영역은, 상기 다른 하나의 영역 내의 상기 상부 부분에 의해 보호되어 상기 현상 중에 제거되지 않고 남는 것인, 포토리소그래피 공정을 수행하는 방법.
  2. 삭제
  3. 포토리소그래피 공정을 수행하는 방법에 있어서,
    방사선 소스에 포토레지스트층을 노출시키는 단계로서, 상기 포토레지스트층은 노출된 영역과 비노출된 영역을 포함하는 것인, 상기 포토레지스트층을 노출시키는 단계; 및
    상기 포토레지스트층의 상부 부분과 반응시키기 위해 경화제를 도포하는 단계로서, 상기 경화제를 도포하여 상기 포토레지스트층의 하부 부분보다 높은 유리 전이 온도(Tg), 또는 높은 기계적 강도를 갖는 상기 포토레지스트층의 상기 상부 부분을 형성하는 것인, 상기 경화제를 도포하는 단계를 포함하고,
    상기 포토레지스트층에 상기 경화제를 도포하는 단계는, 상기 노출된 영역 및 상기 비노출된 영역 중 하나의 영역을 제거하기 위해 상기 경화제를 도포하는 동안 상기 포토레지스트층을 현상하는 단계를 포함하고,
    상기 노출된 영역 및 상기 비노출된 영역 중 다른 하나의 영역은, 상기 다른 하나의 영역 내의 상기 상부 부분에 의해 보호되어 상기 현상 중에 제거되지 않고 남는 것인, 포토리소그래피 공정을 수행하는 방법.
  4. 제 1 항에 있어서, 상기 포토레지스트 층의 하부 부분은 상기 포토레지스트층의 상부 부분 바로 아래에 배치되는 것인, 포토리소그래피 공정을 수행하는 방법.
  5. 제 1 항에 있어서, 상기 경화제는
    화학 구조
    Figure 112017063729076-pat00001
    를 포함하고,
    여기서, Rf는 스페이서기를 나타내고;
    P는 극성기를 나타내고;
    y는 적어도 2인 정수를 나타내고;
    여기서, 상기 Rf는 방향족 탄소환, 또는 선형 또는 환형 알킬, 알콕실, 플루오로알킬, 플루오로알콕실, 알켄, 알킨, 히드록실, 케톤, 알데히드, 카르보네이트, 카르복실산, 에스테르, 에테르, 아미드, 아민, 이민, 이미드, 아지드, 니트레이트, 니트릴, 및 니트라이트 또는 탄소 사슬 1~12 개를 갖는 티올 스페이서기로 구성된 군으로부터 선택되고;
    여기서, 상기 P는 -Cl, -Br, -I, -NO2, -CN, -NCO, -OCN, -OH, -OR*, -OC(O)CR*, -SO2N(R*)2, -SO2R*, -OC(O)R*, -C(O)OR*, -C(O)R*, -Si(OR*)3, -Si(R*)3, 에폭실기로부터 구성된 군으로부터 선택되고, 여기서, R*은 H, 비분지 또는 분지, 환형 또는 비환형 포화 또는 불포화 알킬기 또는 알켄닐기 또는 알키닐기인 것인, 포토리소그래피 공정을 수행하는 방법.
  6. 제 4 항에 있어서, 상기 경화제는
    화학 구조
    Figure 112017063729076-pat00030
    를 포함하고,
    여기서, Rf는 스페이서기를 나타내고;
    L은 연결기를 나타내고;
    z는 적어도 2인 정수를 나타내고;
    여기서, 상기 Rf는 방향족 탄소환, 또는 선형 또는 환형 알킬, 알콕실, 플루오로알킬, 플루오로알콕실, 알켄, 알킨, 히드록실, 케톤, 알데히드, 카르보네이트, 카르복실산, 에스테르, 에테르, 아미드, 아민, 이민, 이미드, 아지드, 니트레이트, 니트릴, 니트라이트, 또는 탄소 사슬 1~12 개를 갖는 티올 스페이서기로 구성된 군으로부터 선택되고;
    여기서, 상기 L은 -NH2, -OH, -SH, -COOH, -COH 및 에폭시기로 구성된 군으로부터 선택되는 것인, 포토리소그래피 공정을 수행하는 방법.
  7. 제 4 항에 있어서, 상기 경화제는 안트라센-1, 8-디카르복실산, 디 에탄올아민 및 아세톤-1, 3-디카르복실산, 에틸렌디아민으로 구성된 군으로부터 선택되는 것인, 포토리소그래피 공정을 수행하는 방법.
  8. 포토리소그래피 공정을 수행하는 방법에 있어서,
    방사선 소스에 포토레지스트층을 노출시키는 단계;
    피처를 형성하기 위해 상기 포토레지스트층을 현상하는 단계;
    상기 피처에 경화제를 도포하는 단계로서, 상기 경화제는 상기 피처의 유리 전이 온도(Tg) 또는 기계적 강도를 증가시키는 것인, 경화제를 도포하는 단계; 및
    상기 피처에 평활화제를 도포하는 단계로서, 상기 평활화제는 상기 피처의 상기 유리 전이 온도를 감소시키는 것인, 평활화제를 도포하는 단계
    를 포함하는, 포토리소그래피 공정을 수행하는 방법.
  9. 포토리소그래피 공정에 이용되는 물질에 있어서,
    150 Dalton 내지 3000 Dalton 사이의 범위에 이르는 분자량을 갖고,
    Figure 112016101434060-pat00003

    또는
    Figure 112016101434060-pat00004

    또는
    Figure 112016101434060-pat00005

    또는
    Figure 112016101434060-pat00006

    화학 구조 중 하나를 갖는 물질을 포함하고,
    여기서, Rf는 제 1 스페이서기를 나타내고;
    C는 탄소 포함기를 나타내고;
    Ar은 방향족 포함기를 나타내고;
    Re는 제 2 스페이서기를 나타내고;
    P는 극성기를 나타내고;
    m은 1 내지 6의 정수를 나타내고;
    n은 1 내지 20의 정수를 나타내고,
    상기 물질은 피처(feature)의 유리 전이 온도를 감소시키는 평활화제인 것인, 포토리소그래피 공정에 이용되는 물질.
  10. 제 5 항에 있어서,
    상기 경화제는 화학식 NHR1R2로서 표시되고, R1/R2는 H 또는 알킬, 알콕실, 플루오로알킬, 플루오로알콕실, 알켄, 알킨, 히드록실, 케톤, 알데히드, 카르보네이트, 카르복실산, 에스테르, 에테르, 아미드, 아민, 이민, 이미드, 아지드, 니트레이트, 니트릴, 및 니트라이트를 포함하는 것인, 포토리소그래피 공정을 수행하는 방법.
KR1020150168938A 2015-07-17 2015-11-30 포토리소그래피 공정 및 물질 KR101829961B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/802,756 US10394126B2 (en) 2015-07-17 2015-07-17 Photolithography process and materials
US14/802,756 2015-07-17

Publications (2)

Publication Number Publication Date
KR20170009689A KR20170009689A (ko) 2017-01-25
KR101829961B1 true KR101829961B1 (ko) 2018-02-19

Family

ID=57775765

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020150168938A KR101829961B1 (ko) 2015-07-17 2015-11-30 포토리소그래피 공정 및 물질

Country Status (4)

Country Link
US (1) US10394126B2 (ko)
KR (1) KR101829961B1 (ko)
CN (2) CN111474823B (ko)
TW (2) TWI648596B (ko)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10573519B2 (en) 2017-09-08 2020-02-25 Taiwan Semiconductor Manufacturing Co., Ltd. Method for performing a photolithography process
US11106138B2 (en) * 2018-08-14 2021-08-31 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography process and material for negative tone development
DE102019134535B4 (de) * 2019-08-05 2023-09-07 Taiwan Semiconductor Manufacturing Co., Ltd. Materialien für unteren antireflexbelag
US11782345B2 (en) * 2019-08-05 2023-10-10 Taiwan Semiconductor Manufacturing Co., Ltd. Bottom antireflective coating materials

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090306243A1 (en) 2007-02-16 2009-12-10 Taiyo Ink Mfg. Co., Ltd. Composition for forming cured film pattern and method for producing cured film pattern by using the same
US20130203898A1 (en) 2012-02-03 2013-08-08 Anna Mueller-Cristadoro Hyperbranched polymers for modifying the toughness of cured epoxy resin systems
JP2013539201A (ja) * 2010-07-07 2013-10-17 ライプニッツ−インスティトゥート フィア ノイエ マテリアーリエン ゲマインニュッツィゲ ゲゼルシャフト ミット ベシュレンクタ ハフトゥンク 微細構造を有する表面を作製する方法

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0860740B1 (en) * 1997-02-20 2002-05-29 Matsushita Electric Industrial Co., Ltd. Pattern forming material
US8518628B2 (en) 2006-09-22 2013-08-27 Taiwan Semiconductor Manufacturing Company, Ltd. Surface switchable photoresist
US8580117B2 (en) 2007-03-20 2013-11-12 Taiwan Semiconductor Manufactuing Company, Ltd. System and method for replacing resist filter to reduce resist filter-induced wafer defects
US20090253081A1 (en) 2008-04-02 2009-10-08 David Abdallah Process for Shrinking Dimensions Between Photoresist Pattern Comprising a Pattern Hardening Step
US8158335B2 (en) 2008-09-15 2012-04-17 Taiwan Semiconductor Manufacturing Company, Ltd. High etch resistant material for double patterning
WO2011014011A2 (ko) 2009-07-28 2011-02-03 주식회사 동진쎄미켐 가교성 경화 물질을 포함하는 포토레지스트 조성물
US8216767B2 (en) 2009-09-08 2012-07-10 Taiwan Semiconductor Manufacturing Company, Ltd. Patterning process and chemical amplified photoresist with a photodegradable base
JP5676179B2 (ja) 2010-08-20 2015-02-25 富士フイルム株式会社 ポジ型感光性樹脂組成物、硬化膜の形成方法、硬化膜、有機el表示装置、及び、液晶表示装置
US8323870B2 (en) 2010-11-01 2012-12-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method and photoresist with zipper mechanism
US8647796B2 (en) 2011-07-27 2014-02-11 Taiwan Semiconductor Manufacturing Company, Ltd. Photoactive compound gradient photoresist
US8728715B2 (en) * 2012-01-13 2014-05-20 Funai Electric Co., Ltd. Non-photosensitive siloxane coating for processing hydrophobic photoimageable nozzle plate
US8741551B2 (en) 2012-04-09 2014-06-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method and composition of a dual sensitive resist
JP5856991B2 (ja) * 2012-05-21 2016-02-10 富士フイルム株式会社 化学増幅型レジスト組成物、ネガ型化学増幅型レジスト組成物、それを用いたレジスト膜、レジスト塗布マスクブランクス、フォトマスクの製造方法及びパターン形成方法、並びに、電子デバイスの製造方法
US9213234B2 (en) 2012-06-01 2015-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Photosensitive material and method of lithography
US9851636B2 (en) 2012-07-05 2017-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Materials and methods for improved photoresist performance
US20140017615A1 (en) 2012-07-11 2014-01-16 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for resist coating and developing
US9256133B2 (en) 2012-07-13 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for developing process
JP5953158B2 (ja) 2012-07-26 2016-07-20 富士フイルム株式会社 パターン形成方法及び該方法に使用するための感活性光線性又は感放射線性樹脂組成物
US9028915B2 (en) 2012-09-04 2015-05-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a photoresist layer
US8906595B2 (en) 2012-11-01 2014-12-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method for improving resist pattern peeling
US9012132B2 (en) 2013-01-02 2015-04-21 Taiwan Semiconductor Manufacturing Company, Ltd. Coating material and method for photolithography
US9057960B2 (en) * 2013-02-04 2015-06-16 International Business Machines Corporation Resist performance for the negative tone develop organic development process
US8936903B2 (en) 2013-03-09 2015-01-20 Taiwan Semiconductor Manufacturing Company, Ltd. Photo-resist with floating acid
US8932799B2 (en) 2013-03-12 2015-01-13 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist system and method
US9223220B2 (en) 2013-03-12 2015-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Photo resist baking in lithography process
US9146469B2 (en) 2013-03-14 2015-09-29 Taiwan Semiconductor Manufacturing Company, Ltd. Middle layer composition for trilayer patterning stack
US9563122B2 (en) * 2015-04-28 2017-02-07 International Business Machines Corporation Method to harden photoresist for directed self-assembly processes

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090306243A1 (en) 2007-02-16 2009-12-10 Taiyo Ink Mfg. Co., Ltd. Composition for forming cured film pattern and method for producing cured film pattern by using the same
JP2013539201A (ja) * 2010-07-07 2013-10-17 ライプニッツ−インスティトゥート フィア ノイエ マテリアーリエン ゲマインニュッツィゲ ゲゼルシャフト ミット ベシュレンクタ ハフトゥンク 微細構造を有する表面を作製する方法
US20130203898A1 (en) 2012-02-03 2013-08-08 Anna Mueller-Cristadoro Hyperbranched polymers for modifying the toughness of cured epoxy resin systems

Also Published As

Publication number Publication date
TW201809877A (zh) 2018-03-16
CN106353969B (zh) 2020-05-08
CN106353969A (zh) 2017-01-25
TWI648596B (zh) 2019-01-21
TW201705200A (zh) 2017-02-01
US20170017158A1 (en) 2017-01-19
CN111474823B (zh) 2023-03-31
TWI606486B (zh) 2017-11-21
KR20170009689A (ko) 2017-01-25
CN111474823A (zh) 2020-07-31
US10394126B2 (en) 2019-08-27

Similar Documents

Publication Publication Date Title
US9012132B2 (en) Coating material and method for photolithography
KR101829961B1 (ko) 포토리소그래피 공정 및 물질
WO2008047719A1 (fr) Procede de formation de motif miniaturise et solution de traitement de substrat de reserve mise en œuvre dans ce procede
JP6814772B2 (ja) 熱酸発生剤を含む反射防止組成物
US8822347B2 (en) Wet soluble lithography
TWI566031B (zh) 微細化光阻圖案之形成方法
CN108121160B (zh) 光刻图案化方法
JP2004266270A (ja) 微細パターン形成方法
JPH0792678A (ja) レジスト組成物
KR101941740B1 (ko) 반도체 디바이스를 패턴화하는 방법 및 장치
KR20110112727A (ko) 더블 패터닝을 이용한 반도체소자의 패턴형성방법
US20230384683A1 (en) Photoresist with polar-acid-labile-group
WO2008047720A1 (fr) Solution de traitement de substrat de reserve apres traitement de developpement et procede de traitement de substrat de reserve au moyen de ladite solution
KR100593662B1 (ko) 개시제를 포함하는 반도체장치 제조용 포토레지스트 및 이를 이용한 포토리소그래피공정
US20160252815A1 (en) Photoresist with Floating-OOB-Absorption Additive
KR20110113472A (ko) 하드마스크용 화합물 및 이를 포함하는 하드마스크 조성물
KR100949892B1 (ko) 반도체 소자의 미세패턴 형성 방법
KR20240005483A (ko) 금속 함유 포토레지스트 현상액 조성물, 및 이를 이용한 현상 단계를 포함하는 패턴 형성 방법
KR20050038125A (ko) 미세 콘택홀 형성방법
CN117148671A (zh) 一种蝶烯化合物组成的光刻胶及其制备方法和应用
CN117148670A (zh) 一种euv金属光刻胶及其制备方法和应用
KR20050080614A (ko) 반도체 소자의 패턴을 형성하는 방법
KR20090122649A (ko) 반도체 소자 패턴의 해상도 향상 방법
JP2005115118A (ja) パターン形成方法
KR20080009939A (ko) 이머젼 리소그라피 공정을 이용한 반도체 소자 제조방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
E902 Notification of reason for refusal
GRNT Written decision to grant