TWI637153B - 電漿室用進階光學感測器及方法 - Google Patents

電漿室用進階光學感測器及方法 Download PDF

Info

Publication number
TWI637153B
TWI637153B TW105137371A TW105137371A TWI637153B TW I637153 B TWI637153 B TW I637153B TW 105137371 A TW105137371 A TW 105137371A TW 105137371 A TW105137371 A TW 105137371A TW I637153 B TWI637153 B TW I637153B
Authority
TW
Taiwan
Prior art keywords
optical
plasma processing
detecting
light emission
event
Prior art date
Application number
TW105137371A
Other languages
English (en)
Other versions
TW201728880A (zh
Inventor
米哈伊爾 米哈伊洛夫
新康 田
慶麟 孟
傑生 弗恩斯
均祥 伍
巴德魯 D 海厄特
嚴征
威 王
Original Assignee
日商東京威力科創股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商東京威力科創股份有限公司 filed Critical 日商東京威力科創股份有限公司
Publication of TW201728880A publication Critical patent/TW201728880A/zh
Application granted granted Critical
Publication of TWI637153B publication Critical patent/TWI637153B/zh

Links

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01JMEASUREMENT OF INTENSITY, VELOCITY, SPECTRAL CONTENT, POLARISATION, PHASE OR PULSE CHARACTERISTICS OF INFRARED, VISIBLE OR ULTRAVIOLET LIGHT; COLORIMETRY; RADIATION PYROMETRY
    • G01J1/00Photometry, e.g. photographic exposure meter
    • G01J1/42Photometry, e.g. photographic exposure meter using electric radiation detectors
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01JMEASUREMENT OF INTENSITY, VELOCITY, SPECTRAL CONTENT, POLARISATION, PHASE OR PULSE CHARACTERISTICS OF INFRARED, VISIBLE OR ULTRAVIOLET LIGHT; COLORIMETRY; RADIATION PYROMETRY
    • G01J1/00Photometry, e.g. photographic exposure meter
    • G01J1/42Photometry, e.g. photographic exposure meter using electric radiation detectors
    • G01J1/44Electric circuits
    • G01J1/46Electric circuits using a capacitor
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01JMEASUREMENT OF INTENSITY, VELOCITY, SPECTRAL CONTENT, POLARISATION, PHASE OR PULSE CHARACTERISTICS OF INFRARED, VISIBLE OR ULTRAVIOLET LIGHT; COLORIMETRY; RADIATION PYROMETRY
    • G01J3/00Spectrometry; Spectrophotometry; Monochromators; Measuring colours
    • G01J3/28Investigating the spectrum
    • G01J3/2803Investigating the spectrum using photoelectric array detector
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01JMEASUREMENT OF INTENSITY, VELOCITY, SPECTRAL CONTENT, POLARISATION, PHASE OR PULSE CHARACTERISTICS OF INFRARED, VISIBLE OR ULTRAVIOLET LIGHT; COLORIMETRY; RADIATION PYROMETRY
    • G01J3/00Spectrometry; Spectrophotometry; Monochromators; Measuring colours
    • G01J3/28Investigating the spectrum
    • G01J3/443Emission spectrometry
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • H01J37/32944Arc detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • H01J37/32972Spectral analysis
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01JMEASUREMENT OF INTENSITY, VELOCITY, SPECTRAL CONTENT, POLARISATION, PHASE OR PULSE CHARACTERISTICS OF INFRARED, VISIBLE OR ULTRAVIOLET LIGHT; COLORIMETRY; RADIATION PYROMETRY
    • G01J1/00Photometry, e.g. photographic exposure meter
    • G01J1/42Photometry, e.g. photographic exposure meter using electric radiation detectors
    • G01J2001/4247Photometry, e.g. photographic exposure meter using electric radiation detectors for testing lamps or other light sources
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01JMEASUREMENT OF INTENSITY, VELOCITY, SPECTRAL CONTENT, POLARISATION, PHASE OR PULSE CHARACTERISTICS OF INFRARED, VISIBLE OR ULTRAVIOLET LIGHT; COLORIMETRY; RADIATION PYROMETRY
    • G01J3/00Spectrometry; Spectrophotometry; Monochromators; Measuring colours
    • G01J3/28Investigating the spectrum

Landscapes

  • Physics & Mathematics (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)

Abstract

本文提供用於電漿處理系統中光學事件之偵測的進階光學感測器及方法。該方法包含在電漿處理室中偵測至少一光發射信號。所偵測到的該至少一光發射信號包含因光學事件而引起的光發射。該方法更包含處理該至少一光發射信號及自所處理的光發射信號偵測該光學事件的特徵。

Description

電漿室用進階光學感測器及方法
[相關申請案的交互參照]
本申請案主張2015年11月16日申請之美國專利臨時申請案第62/255573號作為優先權母案,其整體揭露內容併入本說明書中以供參照。
本發明係關於在電漿處理系統中偵測電弧事件,而更具體而言,係關於用於偵測因電弧事件所引起之光發射的方法、系統、及設備。
電漿處理系統係用以藉由包含蝕刻、物理氣相沉積(PVD,physical vapor deposition)、化學氣相沉積(CVD,chemical vapor deposition)、離子植入、及光阻移除的技術來處理基板。診斷工具通常用以監控電漿的狀態,以便在生產過程期間瞭解基板上的瑕疵並使其最小化。電漿處理期間之瑕疵的一來源係與電弧事件有關。電弧可能會導致被處理晶圓之材料劣化,包含不樂見的基板材料之濺射,且亦可能對電漿處理系統本身造成損害。其他異常的電漿特性可能會引起瑕疵或降低生產元件的良率。
以上「先前技術」的敘述係為了概略地呈現本揭露內容的背景。在本「先前技術」段落中所描述的範圍內之發明人的成果、以及在申請時可能 未以其他方式認定為先前技術的描述之態樣,並未明示或默示地被承認為是相對於本發明的先前技術。
本揭露內容的一態樣包含用於電漿處理系統中光學事件之偵測的方法,其在電漿處理室中偵測至少一光發射信號。所偵測到的該至少一光發射信號包含因光學事件而引起的光發射。該方法處理該至少一光發射信號,且自所處理的光發射信號偵測該光學事件之特徵。
本揭露內容的另一態樣包含用於電漿處理系統中光學事件之偵測的設備。該設備包含設置於該電漿處理系統之電漿處理室上的窗,其用於提供通往該電漿處理室的光學接取;至少一光學偵測器,其用於在該電漿處理室中經由光收集器來偵測因光學事件而引起的光發射信號;及控制器。該控制器係配置以執行下列操作:基於電漿之操作狀態來處理該光發射信號,且自所處理的光發射信號偵測該光學事件之特徵。
本揭露內容的另一態樣包含用於電漿處理的系統。該系統包含電漿處理室;至少一光學偵測器,其用於在該電漿處理室中經由光收集器來偵測因光學事件而引起的光發射信號;及控制器。該控制器係配置以執行下列操作:基於電漿之操作狀態來處理該光發射信號,且自所處理的光發射信號偵測該光學事件之特徵。
已藉由概略介紹的方式提供以上段落,且以上段落並非意為限制以下申請專利範圍的範疇。參照以下「實施方式」結合隨附圖式,將可妥善理解所說明的實施例與進一步的優點。
100‧‧‧電漿處理系統
102‧‧‧光學偵測系統
104‧‧‧電漿處理室
106‧‧‧基板固持器
108‧‧‧基板
110‧‧‧光收集器
112‧‧‧光偵測器
114‧‧‧控制器
116‧‧‧光學窗
118‧‧‧偵測區域
120‧‧‧天線
122‧‧‧聚焦環
200‧‧‧第二窗
a‧‧‧偵測區域直徑
P1、P2、P3、P4、P5、P6‧‧‧光跡
300‧‧‧過濾器
302‧‧‧類比數位轉換器
304‧‧‧數位控制器
306‧‧‧介面
308‧‧‧電腦
310‧‧‧光學過濾器
312‧‧‧光二極體
314‧‧‧積體電路運算放大器
316‧‧‧電阻器
318‧‧‧電容器
320‧‧‧電阻器
322‧‧‧積體電路運算放大器
323‧‧‧電容器
324‧‧‧電阻器
326‧‧‧電容器
328‧‧‧電阻器
400‧‧‧方法
402‧‧‧步驟
404‧‧‧步驟
406‧‧‧步驟
500‧‧‧方法
502‧‧‧電漿處理控制器
504‧‧‧操作
506‧‧‧操作
508‧‧‧操作
510‧‧‧操作
512‧‧‧操作
514‧‧‧操作
516‧‧‧操作
518‧‧‧操作
519‧‧‧操作
520‧‧‧操作
522‧‧‧操作
524‧‧‧操作
526‧‧‧操作
600‧‧‧示意圖
602‧‧‧訊跡
604‧‧‧訊跡
606‧‧‧示意圖
608‧‧‧訊跡
610‧‧‧訊跡
612‧‧‧示意圖
614‧‧‧示意圖
616‧‧‧示意圖
700‧‧‧中央處理單元
702‧‧‧記憶體
704‧‧‧儲存媒體磁碟
706‧‧‧網路控制器
708‧‧‧顯示器控制器
710‧‧‧顯示器
712‧‧‧輸入輸出介面
714‧‧‧鍵盤及/或滑鼠
716‧‧‧觸控螢幕面板
718‧‧‧周邊設備
720‧‧‧聲音控制器
722‧‧‧揚聲器/麥克風
724‧‧‧儲存控制器
726‧‧‧匯流排
728‧‧‧網路
藉由參照以下「實施方式」並連同隨附圖式一併考量,將可更加容易地透徹理解本揭露內容及伴隨其中之許多優點,其中:圖1依據一範例,係為裝配有電弧偵測系統之電漿處理系統的側視示意圖;圖2A依據一範例,係為裝配有電弧偵測系統之電漿處理系統的俯視示意圖;圖2B依據一範例,係為裝配有電弧偵測系統之電漿處理系統的俯視示意圖;圖3A依據一範例,係為電弧偵測系統的例示性方塊圖;圖3B依據一範例,係為光偵測器的例示性方塊圖;圖4依據一範例,係為顯示用於電弧偵測之方法的流程圖;圖5依據一範例,係為顯示用於監控電弧事件之方法的流程圖;圖6A係為使用電弧偵測系統而獲得的例示性電弧強度信號;圖6B依據一範例,係為顯示電漿強度的示意圖;圖6C係為顯示例示性結果的示意圖;及圖7依據一範例,係為電腦的例示性方塊圖。
現參照圖式,其中類似的參考符號代表了遍及若干視圖的相同或對應的部件,以下敘述係關於用於電弧偵測的系統、感測器、及相關方法。
整篇說明書中提及「一個實施例」或「一實施例」係指,關於該實施例而敘述的特定特徵、結構、材料、或特性係包含於至少一實施例中,但並不表示該特定特徵、結構、材料、或特性存在於每個實施例中。因此,遍及本說明書各處許多地方中的用語「在一個實施例中」之出現未必指涉相同的實施例。再者,在一或更多實施例中,可以任何合適的方式結合特定特徵、結構、材料、或特性。
圖1依據一範例,係為裝配有光學偵測系統102之電漿處理系統100的側視示意圖。電漿處理系統100包含電漿處理室104,而基板固持器106(例如靜電卡盤)係設置於電漿處理室104內部,以接收待處理的基板108(例如,半導體晶圓、積體電路、一片待塗佈的聚合物材料、待以離子植入進行表面硬化的金屬、或待蝕刻或待沉積的其他半導體材料)。來自射頻(RF)及/或微波功率源(未顯示)的RF及/或微波功率係供至電漿處理室104,以在基板108附近引燃及維持電漿,其中來自電漿之高能化學物種係用以在基板108上執行電漿處理步驟。
在一實施中,電漿處理系統100可在操作期間(例如在原子層沉積(ALD,atomic layer deposition)及原子層蝕刻(ALE,atomic layer etching)中)利用脈衝式電漿。製程氣體(例如,氮、氙、氬、氟碳化學物的四氟化碳(CF4)或八氟環丁烷(C4F8)、氯(Cl2)、溴化氫(HBr)、或氧(O2))流入電漿處理室104中,並設置泵浦系統(未顯示)以於所期望的製程壓力下使電漿處理室104中維持真空。電漿處理步驟之範例包含電漿輔助化學氣相沉積(PECVD,plasma-enhanced chemical vapor deposition)、電漿輔助原子層沉積(PEALD,plasma-enhanced atomic layer deposition)等。
在一實施中,能源來源係為天線120,其係由RF來源供能,以將RF能量感應耦合至電漿處理室104中。藉由將RF功率施加至天線120所產生的電磁場會激發製程氣體以形成基板108上方的電漿。
光學偵測系統102係用以藉由至少一光收集器110及至少一光偵測器112來偵測電漿處理室104中的光學事件,光偵測器112將所偵測到的光傳遞至控制器114,並由控制器114所控制。控制器114可為通用型電腦,如圖7中所示。
光學窗116提供通往電漿處理室104的光學接取。光學窗116可包含在所監控的光譜(例如,可見光)中為通透性的材料,例如玻璃、石英、熔矽石、或藍寶石,取決於應用以及電漿之化學作用的攻擊性程度。偵測區域118界定光發射自該處被收集之空間的部分。電漿處理室104可包含額外的窗。例如,第二窗可用於慣常的光學發射光譜系統,其可用於例如製程端點偵測器(EPD,end-point detector)。或者,取決於待被監控光學事件的電漿之位置,光收集器110可設置於電漿處理室104中,亦可設置於其他位置中。例如,可設置光收集器110以在升降銷升高(基板108自基板固持器106舉升)期間偵測電弧。
如上所提及,電弧可能會導致被處理晶圓之材料劣化、及/或對電漿處理系統本身造成損害。特別的條件下可能會導致電漿處理室104中的異常放電(電弧)。異常放電可釋放聲學的、RF的、化學性的、及光的能量。藉由偵測此等能量(信號)其中一或多者,可偵測電弧,其作為異常放電。例如,一般而言,可藉由在電漿處理室104內中斷對製程氣體的RF耦合(即:阻抗失配)而觀察到電漿處理室104內的某些電弧事件。本案發明人已藉由試驗判定,例如,用於偵測異常電漿放電事件(例如電弧)之RF及聲學的技術係不足以用於偵測電漿處理室104中的許多異常電漿條件及分析其特性。
本說明書中所敘述之感測器、系統、及相關方法偵測與電漿處理室104內部的電弧事件相關聯的光學信號。本說明書中所敘述之感測器在遍及電漿處理室104的大量容積各處偵測電弧,該大量容積包含例如涵蓋基板表面的水平尺寸,以及涵蓋電漿本身之自基板108至上電極的垂直尺寸。在一實施例中,光學偵測系統102可偵測在晶圓、聚焦環、天線(即:電容耦合RF電漿室的電極)之表面上,以及在電漿本身內部的電弧。甚至在因正常電漿製程而引起之非常明亮的背景光存在之情況下及/或於電漿不存在之情況下仍能達成電弧的光學偵測。
圖2A依據一範例,係為裝配有光學偵測系統102之電漿處理系統的100俯視示意圖。光收集器110包含複數光學路徑,其涵蓋大量部分的電漿處理室104之容積以及基板108、聚焦環122、及天線120的表面(偵測區域118)。在一實施例中,此可藉由廣角鏡來達成。例如,可將6個廣角鏡彼此相鄰地設置以使該等廣角鏡的視野重疊,以共同涵蓋所需之電漿處理室104容積。
光偵測器112自光收集器110直接地或透過一或更多光學纖維接收所偵測到的光。可使用一或更多光學偵測器。
送至光學偵測器的背景光(即:非指示光學事件的光)具有自數微瓦特至數百微瓦特之範圍內的功率。背景光可使光偵測器112飽和。來自典型電弧本身的光係於自[pW](微微瓦特)至[μW](微瓦特)之範圍內。因此,偵測器係裝配有軟體控制之增益以及背景濾除,以去除背景光信號。具有背景光濾除的例示性光偵測器112係顯示於圖3B中討論於下。因此,光偵測器112可偵測電弧事件,該電弧事件具有光學信號,其具有低於電漿光發射之光學信號的功率至少3個數量級的功率。光偵測器112為電弧信號提供高增益,甚至在來自電漿之光強度非常高的情況下亦然。
電弧的持續時間係於數微秒至數百毫秒的範圍內。光學偵測器電子設備及擷取系統(例如,控制器114)係非常快速地在微秒範圍(例如,10μs或更低)內處理來自電弧的信號。該電子設備、軔體、及軟體可處理電弧的即時偵測,並提供電弧發生時的時間戳記資訊,以及非常高解析度之電弧的振幅及持續時間。因此,電弧偵測可於脈衝型電漿之典型脈衝持續時間內執行。
當光脈衝能量(亦即,該脈衝的持續時間乘該脈衝的功率)係於皮焦耳(pJ)範圍內,光學偵測系統102可偵測自百毫微秒範圍起的光脈衝。除了光強度以外,光偵測器112還偵測光脈衝能量。在一實施中,在光脈衝具有大於6微秒之持續時間的情況下,來自光偵測器112的電信號係與該光脈衝的振幅成比例。再者,在脈衝之光能量大於0.1pJ的情況下,光偵測器112可偵測具有低於0.5微秒之持續時間的光脈衝。
圖2B依據一範例,係為裝配有光學偵測系統102之電漿處理系統100的俯視示意圖。如先前在本說明書中所描述,電漿處理系統100可裝配有複數窗。例如,除了光學窗116以外,電漿處理系統100可包含用於光學發射光譜(OES,optical emission spectroscopy)端點偵測的第二窗200。在一範例中,在晶圓中央的偵測區域直徑a為450mm。
轉向圖3A,依據一實施例,顯示用於電弧偵測的光學偵測系統102。光學偵測系統102可包含光收集器110、光偵測器112、過濾器300、類比數位轉換器(ADC,Analog to digital convertor)302、數位控制器304、介面306、電腦308、及可選的光學過濾器310。
光學偵測系統102係定位於電漿處理室104之外部,如此一來光會通過電漿處理室104的光學窗116。在電漿處理室104中所發射的光係由光收集器110所收集,且經由例如光學纖維(未顯示)而傳輸至光偵測器112。然後,可透過過濾器300(例如,電類比過濾器)來過濾所偵測到的強度。所過濾的強度之後係 經由ADC 302而提供至數位控制器304。數位控制器304經由介面306而將所偵測到的強度傳輸至電腦308。數位控制器304控制光偵測器112及ADC 302。電弧事件係為微秒量級的,因此數位控制器304具有快速的響應時間。應注意,ADC 302的解析度會控制光學偵測系統102的時間解析度。但是,ADC 302並不會控制藉由光偵測器112所偵測到的光脈衝之時間解析度。
電腦308可定位於電漿處理系統100的附近,或可定位於遠端,並透過網路(例如,網際網路、內部網路)連接至系統。
光收集器110係配置以偵測來自涵蓋基板108及聚焦環122的區域(例如,圖1的偵測區域118)中之任何點的光發射。在垂直方向上,光收集器110係配置以偵測從晶圓到天線120的任何電弧,如圖1中所示。光收集器110可包含一或更多鏡。該一或更多鏡可具有隨偵測區域118尺寸而變化的焦距(f)及f數值(N)。例如,光收集器110可包含一或更多廣角鏡。
在一實施中,可以不同角度設置該一或更多鏡以涵蓋偵測區域118。在一範例中,在電漿處理室104中央的偵測區域直徑為450mm,如圖2B中所示。光收集器110可包含6個鏡,其各者分別以-31.1、-19.7、-6.1、6.1、19.7、及31.1度的角度來設置。為了利用6個鏡來涵蓋在晶圓中央的450mm,各鏡具有75mm或更大(即,450mm/6=75mm)的物體尺寸。各鏡所收集的光係由光學纖維傳輸至光偵測器112。在一實施中,使用800μm的纖維。因此,影像尺寸為0.8mm。在一範例中,可將該等鏡設置於距晶圓中央375mm之距離處,且該等鏡具有4.8mm的孔徑。因此,物空間數值孔徑(NA,Numerical Aperture)係為0.0064(~D/2f=4.8/750),而像空間數值孔徑係為0.44。在此配置的情況下,在晶圓中央各通道間不存在間隙,但在晶圓邊緣有3mm的最大間隙,其會導致效率少量下降。在各通道中央的光跡係以圖2B中的P1、P2、P3、P4、P5、及P6來顯示。
光偵測器112可包含光倍增管、感光耦合元件(CCD,Charged-coupled device)、光二極體、互補式金屬氧化物半導體(CMOS,Complementary metal-oxide-semiconductor)光陣列、光二極體陣列。在一實施中,光偵測器112具有5MHz或更高的讀出頻率。光偵測器112係配置以在400nm-900nm波長範圍內進行偵測。在一實施中,光偵測器112可根據應用需求而具有特定的波長光譜特性。例如,光二極體可包含針對特定波長而配置的光學過濾器。光偵測器112可具有由數位控制器304所控制的可變增益。例如,可以由數位控制器304所控制的可變增益光二極體來實施光偵測器112。
光偵測器112可包含一或更多光偵測器。偵測器/偵測器的子區域之各者可涵蓋偵測區域118(其涵蓋晶圓及聚焦環)之重疊區域。由子偵測器中所發射之各信號輸出至通道。
在一實施中,光學偵測系統102在光學路徑中更可包含一或更多光學過濾器(例如,光學過濾器310)。例如,在與通道相聯繫的光二極體前可包含光譜過濾器。通道代表與偵測區域118之部分相聯繫的輸出。在一實施中,光學偵測系統102包含6個通道。再者,可使用複數過濾器以提供高光譜(波長解析度)。此提供具有離散光譜資訊的超高速感測器。光學過濾器可包含於所有通道或較少通道中。可使用光學過濾器310以光學性地抑制背景光信號。例如,可使用過濾器以阻擋與電漿中之Ar相關聯的700nm信號。
在一實施中,各光學纖維(來自光收集器110)可藉由光學光譜分離器(例如,具有分光器的光學過濾器)而分成複數離散光譜通道。例如,可從一個通道獲得兩或三個光譜線通道。
光譜資訊可用於監控電漿處理室及用於診斷電漿處理室104中之瑕疵。例如,光學偵測系統102可在電漿斜降期間自基板108下偵測電漿處理室 104中的氦氣洩漏。再者,光學偵測系統102可在蝕刻期間偵測分離的氣體排放管線,以及可偵測偶發事件。
可由一或更多通道偵測火花事件。相同型態及相似信號強度的大型電弧可能於相同時間出現在所有通道中。小型電弧在不同通道間可能有顯著的差異,因此提供了電漿處理室104內之電弧的空間定位。
光學偵測系統102從晶圓夾持狀態到晶圓解除夾持狀態(電漿關閉)時偵測電弧。解除夾持期間的電弧事件可能係因殘餘電荷而引起。
在一實施中,可將光偵測器112及端點偵測器感測器(未顯示)集中在一外罩中。光偵測器112及端點偵測器感測器可透過通信纜線而與電腦308通訊。電腦308亦可偵測及處理電漿光學發射光譜(OES,optical emission spectroscopy)信號。
圖3B依據一範例,係為光偵測器112的例示性方塊圖。在一實施中,光偵測器112可包含背景光濾除電路,以避免使偵測器飽和。光偵測器112可包含光二極體312、電阻器316、電容器318、及積體電路運算放大器(IC Op Amp,Integrated circuit operational amplifier)314(例如,高增益運算放大器)。背景光濾除電路包含IC Op Amp 322、電阻器320、324、及328,以及電容器323及326。背景光濾除電路產生與背景光成比例的電流,且對於短光脈衝不敏感。從光二極體電流減除來自電阻器320的電流,而因此Op Amp 314並未飽和於背景光。來自電阻器320的電流係與背景光成比例。
圖4依據一範例,係為顯示用於電弧偵測之方法400的流程圖。方法400,例如,可由關於圖1而敘述的控制器114來執行。在若干實施中,方法400可始於PECVD室被啟動的情況下。
在402,可偵測光發射信號。例如,光偵測器112可偵測經由光收集器110而接收的光信號。
在404,處理光發射信號。在若干實施中,在電漿光發射運作的情況下,從所偵測到的光發射信號濾除該電漿光發射。在若干實施中,可在電漿光發射關閉的情況下(例如,在晶圓解除夾持期間)濾除背景雜訊。背景雜訊代表可能會引起所偵測到的信號之變動的電子雜訊或其他雜訊,而該變動並非因背景光或光學事件所造成。
在若干實施中,可隨平均雜訊變化來處理所偵測到的光強度。例如,可阻擋通往光收集器110的發射,然後偵測並儲存背景雜訊。可在電漿關閉及/或開啟的情況下收集背景雜訊。然後,可從所偵測到的光強度濾除背景雜訊。
在若干實施中,根據製程,使用一或更多技術來抑制背景光。例如,光學過濾、光偵測器增益控制、及ADC控制其中一或多者可用以達成背景電漿光信號之最佳抑制,以及達成指示電漿異常情況之光學發射的信號相對於雜訊之高比例。
在406,自所處理的光發射信號偵測電弧事件之特徵。在若干實施中,在所處理的光發射信號超過臨界值的情況下,偵測到電弧事件。
再者,可根據所偵測到的強度來將電弧事件分類。例如,小型的電弧事件可包含具有介於臨界值與第二臨界值間之強度的電弧事件。強大型的電弧事件可包含具有大於第二臨界值之強度的電弧事件。
在一實施例中,一旦偵測到電弧事件,可產生警告信號(例如音訊的警告、視覺的警告),以採取改正的行動來使基板電弧情況最小化,或以終止電漿處理。
在一實施例中,亦可同時藉由其他電弧偵測技術來偵測電弧事件,例如藉由聲波發射(AE,acoustic emission)感測器、RF探針、及/或OES系統。所收集的資料可用於交叉檢查,以及進一步識別所偵測到的電弧事件。
可在光學事件的偵測及特性分析中使用軟體演算法。在一範例中,演算法可學習配方在各步驟的正常信號型態。然後,在量測行程期間,利用「所學習到的」正常型態來運用信號型態比對演算法,以偵測異常信號。演算法可用於電弧偵測以及電漿監控。
機器學習演算法、或訓練的模型(例如,利用維度縮減)可用以在異常信號(例如,電弧、不穩定的電漿)與正常信號(亦即,在運用了所有過濾(例如光學的、電的過濾等)後的信號)間進行辨別。特定的人工智能演算法可取決於個別的實際電漿製程應用(例如,蝕刻行程)。信號型態辨識之訓練的模型可用於在許多不同的情境下從正常信號識別出不尋常的信號(例如,尖峰偵測)。
圖5依據一範例,係為顯示用於電弧偵測之方法500的流程圖。在電漿處理系統100中,基板(例如,基板108)係設置於基板固持器(例如,基板固持器106)上(504)。電漿處理控制器502可傳送信息至控制器114(506),該信息包含關於被處理之晶圓負載的資訊。然後,控制器114可載入與被處理之晶圓負載相關的資訊,例如,與電流負載相關聯的光電漿強度(508)。控制器114可傳送確認信息(510)。之後,電漿處理控制器502可傳送信息至控制器114,以開始電弧監控過程(512),例如,圖4中所示的方法400。接著,開始夾持程序(514)。施加夾持力至基板(514)。一旦完成夾持程序,則開啟電漿(516),並將指示電漿開啟的轉變信息傳送至控制器114(518)。一旦完成電漿製程,則關閉電漿,並將完成信息傳送至控制器114。控制器114可調節所偵測到之光強度的處理。例如,控制器114可隨背景雜訊變化來過濾所偵測到之光強度。
響應該製程已結束的判定,可開始解除夾持程序(520)。在解除夾持程序期間,從基板108去除夾持力。如先前在本說明書中所描述,在解除夾持程序期間,針對電弧事件而監控電漿處理室104。一旦完成解除夾持程序,則電漿處理控制器502可傳送停止監控的信息至控制器114(522)。控制器114可傳送監 控結果至電漿處理控制器502(524)。監控結果可包含關於所偵測到之一或更多電弧事件的資訊(例如:強度、時間戳記、時距)。在接收到監控結果後,電漿處理控制器502即可基於所偵測到的電弧事件之嚴重性來決定「下個」行動(526)。「下個」行動可包含對操作者輸出標記、記錄晶圓為有瑕疵的、執行維護例行程序等。
在若干實施例中,光學偵測系統102可偵測除了電弧以外的光學事件。例如,光學偵測系統102可偵測異常發射尖峰(例如負峰)及/或不尋常的電漿發射事件發生(例如,減少、熄滅、閃爍等)。可分析所偵測到的信號以判定配方、腔室條件、及/或腔室/晶圓之部件,與不尋常或異常放射之間的相關性。
光學偵測系統102向使用者提供使用者介面。例如,第一使用者介面可用於資料取得,而第二介面可用於資料分析。
圖6A係為使用電弧偵測系統而獲得的例示性電弧強度信號。示意圖600顯示使用者介面,其顯示例示性結果。訊跡602及604顯示由兩通道所偵測到的電弧事件。為模擬電漿處理期間的電弧事件,使用Kapton膠帶及具有TiN導體層之測試晶片。邊緣的電弧及表面的電弧兩者皆係藉由使用Kapton膠帶而產生。電漿係使用CF4/Ar來源而產生。
圖6B依據一範例,係為顯示電漿強度的示意圖。示意圖606顯示時域中的電漿(發射)強度。訊跡608顯示由中央通道所擷取的發射強度。中央通道擷取在電漿處理室104中央的發射(例如,圖2B中所示的P3或P4)。訊跡610顯示由側通道所擷取的發射強度。如由訊跡608及訊跡610所顯示,電漿為不穩定的,在時域中可觀察到約10%的發射強度變動。光學偵測系統102的高解析度提供關於電漿穩定度的更多資訊。除了電弧事件,系統可偵測不尋常的電漿發射事件發生(例如,減少、熄滅、閃爍)。訊跡608及訊跡610顯示兩熄滅事件。熄滅事件代表電漿強度的減少,其可能發生於不穩定的電漿中。
圖6C係為顯示例示性結果的示意圖。示意圖612顯示使用RF探針所偵測到的電弧事件。示意圖614顯示相同的電弧事件,其係藉由AE感測器來偵測。示意圖616顯示相同的電弧事件,其係藉由本說明書中所描述的光學偵測系統102來偵測。相較於其他技術,藉由光學偵測系統102,電弧事件係在較高解析度的情況下被偵測到。
接著,依據例示性實施例,參照圖7來描述電腦308的硬體說明。在圖7中,電腦308包含中央處理單元(CPU)700,其執行本說明書中所描述的製程。可將製程資料及指令儲存於記憶體702中。亦可將此等製程及指令儲存於儲存媒體磁碟704(例如硬碟(HDD))、或可攜式儲存媒體,或可儲存於遠端。再者,所主張的改良不受電腦可讀媒體之形式所限制,其中本發明製程之指令係儲存於該電腦可讀媒體上。例如,指令可儲存於可與電腦308通訊的CDs、DVDs、快閃記憶體、RAM、ROM、PROM、EPROM、EEPROM、硬碟、或任何其他資訊處理裝置,例如電漿處理系統100。
再者,可將所主張的改良提供為公用應用程式、背景常駐程式、或操作系統的元件、或其組合,其結合CPU 700及操作系統來執行,其中操作系統例如熟習本領域技術者所熟知的Microsoft Windows 7、UNIX、Solaris、LINUX、Apple MAC-OS、及其他系統。
如熟習本領域技術者所熟知,為了完成電腦308,可由各種電路元件來實現硬體元件。例如,CPU 700可為美國Intel公司的Xenon或Core處理器,或為美國AMD公司的Opteron處理器,或可為本領域中具通常知識者可認定的其他處理器類型。或者,CPU 700可在FPGA、ASIC、PLD上實施,或使用分立邏輯電路,如本領域中具通常知識者可認定的。再者,CPU 700可作為多重處理器來實施,其協同地同時運作,以執行上述本發明製程之指令。
圖7中的電腦308亦包含網路控制器706,例如美國Intel公司的Intel乙太網路PRO網路介面卡,其用於與網路728介面接合。如可瞭解,網路728可為公用網路,例如網際網路,或可為專用網路,例如LAN或WAN網路,或可為其任何組合,且亦可包含PSTN或ISDN子網路。網路728亦可為有線的,例如乙太網路,或可為無線的,例如蜂巢式網路,其包含EDGE、3G、及4G無線蜂巢式系統。無線網路亦可為WiFi、藍芽、或已知的任何其他無線通訊形式。
電腦308更包含顯示器控制器708,例如美國NVIDIA公司的NVIDIA GeForce GTX或Quadro圖形配接器,其用於與顯示器710(例如Hewlett Packard HPL2445w液晶顯示器)介面接合。通用的輸入輸出(I/O)介面712與鍵盤及/或滑鼠714、以及可選的觸控螢幕面板716(其位於顯示器710上或與顯示器710分離)介面接合。通用的I/O介面亦連接至各種各樣的周邊設備718,其包含印表機及掃瞄機,例如Hewlett Packard公司的OfficeJet或DeskJet。
聲音控制器720(例如Creative公司的Sound Blaster X-Fi Titanium)亦設置於電腦308中,以與揚聲器/麥克風722介面接合,藉此提供聲音及/或音樂。例如,當偵測到電弧事件時,可經由揚聲器722輸出可聞警報。
通用的儲存控制器724使儲存媒體磁碟704與通信匯流排726連接,通信匯流排726可為ISA、EISA、VESA、PCI、或類似物,其用於使電腦308的所有元件互相連接。本說明書中省略了顯示器710、鍵盤及/或滑鼠714,以及顯示器控制器708、儲存控制器724、網路控制器706、聲音控制器720,及通用的I/O介面712之一般特徵及功能的說明以達到簡潔的目的,因為此等特徵為已知的。
相較於其他感測器(例如,聲波發射(AE)感測器、RF探針、及EPD感測器),本說明書中所述的光學偵測系統102具有較高的信號相對於雜訊之比例(S/N)(即:約較佳10倍的S/N)。此外,相較於已知技術(例如,AE感測器、RF探 針、及EPD),光學偵測系統102具有較佳的時間解析度(即:約較佳100倍的時間解析度)。此外,該系統具有能偵測到其他已知感測器未偵測到之「小型」電弧事件的優點。
發明人執行試驗以判定電磁(EM,electromagnetic)信號對光學偵測系統的作用。例如,於電漿處理室104中無任何基板且未模擬任何電弧條件的情況下,在電漿處理室104中引發並維持電漿。光學偵測系統102並未偵測到任何電弧事件信號(例如,藉由控制器114),此情況指示在正常條件下的電漿不會產生可能會干擾光學偵測系統102及其相關電子設備的顯著EM信號。亦在電弧條件下執行試驗。電弧係藉由例如使用kapton膠帶將測試晶片固定至基板固持器106而產生。可從測試晶片上及基板固持器106上的燒灼痕跡而視覺上地確認在晶片表面上及在晶片邊緣的電弧。在此等電弧事件期間,光學偵測器的一通道係受到光學性的阻擋,並與未受阻擋的通道進行比較。在未受阻擋的通道上偵測到電弧事件,而受到光學性阻擋的通道上並未偵測到事件,此情況指示,因電弧事件而產生的任何EM信號不會顯著地影響該光學偵測電子設備。再者,將EM網目護板設置於光學窗上,以阻擋來自腔室內的任何EM信號。EM護板降低了所偵測到的光學信號,所偵測到的電弧信號並未因護板而增進,此情況指示,對於使用光學偵測系統而言,EM護板並非必要的,或甚至不需要EM護板。
在電漿處理系統100中於不同條件下產生電弧,以評估系統在不同條件下的偵測速率。燒灼痕跡係用以確認腔室中電弧事件的發生。當不存在燒灼痕跡時,光學偵測系統102未偵測到任何電弧信號。因此,該光學系統並無正誤判的偵測情形。在某些試驗中,觀察到燒灼痕跡,但系統並未偵測到對應至該燒灼情況的任何電弧事件信號。此未能偵測到某些電弧事件的情況被認為係歸因於特定試驗條件(例如所使用的配方),其可藉由使用光學過濾器、偵測器 增益控制技術、及類比信號過濾器來改善,以抑制背景電漿發射,並增進光學事件之信號相對於雜訊的比例。
發明人執行試驗以對電弧事件進行特性分析。藉由在腔室中使用Kapton膠帶及測試晶片來模擬不同規模的電弧事件。所觀察到的燒灼痕跡係與電弧事件的規模成比例。以本說明書中所揭露之光學偵測器來進行的各種電弧事件之偵測係與其他偵測方法(例如,RF探針、AE感測器、及光學發射光譜(OES,optical emission spectroscopy)偵測器)進行比較。結果顯示,RF探針、AE感測器、OES系統、及本發明的光學偵測器可偵測相當大型的電弧。然而,本發明的光學偵測器偵測到RF探針、AE感測器、OES系統所偵測不到的較小型電弧。此外,相較於RF探針及AE感測器,光學偵測系統102具有較佳的信號相對於雜訊(S/N)之比例。在一試驗中,相較於RF探針之S/N為2以及AE感測器之S/N為3的情況,該系統針對大型電弧展現的S/N為20。此外,相對於RF探針(~22毫秒)、AE感測器(~27毫秒)、及EPD(~10.9秒),該等試驗顯示絕佳的時間解析度(~1毫秒)。
進行另一輪的試驗以研究光學偵測系統之空間解析度。在電弧晶片位於晶圓中央以及在電弧位於晶圓切口附近的情況下進行試驗。大型電弧信號出現於系統的所有通道中,而所偵測到的電弧信號在相同時間出現,且具有相似型態及信號強度。然而,就非常小型的電弧而言,所偵測到的信號在不同通道間有顯著的差異,其指示本發明之光學偵測系統具有空間解析度的能力。
進行另一組試驗以在關閉電漿後偵測火花事件。在關閉電漿後,在所有測試晶圓中觀察到火花。關閉電漿後的火花事件係以3個不同群組的形式出現(亦即,小火花之後是較大火花,再之後是小火花)。
顯然地,可根據上述教示來進行許多修改及變化。因此可瞭解,在所附申請專利範圍之範疇內,除了本說明書中所具體描述之方法外,亦可利用其他方式實施本發明。
因此,上述討論僅揭露及說明本發明之例示性實施例。如可為熟習本領域技術者所理解,本發明可在不背離其精神或必要性質的情況下,以其他具體形式體現。因此,應將本發明之揭露內容視為說明性的,而非限制本發明以及其他請求項之範疇。本揭露內容(包含本說明書中之教示的任何可輕易辨別之變異),部分地定義先前主張的專門用語之範疇,如此一來,沒有發明之標的被貢獻給公眾。

Claims (19)

  1. 一種用於電漿處理系統中光學事件之偵測的方法,該方法包含下列步驟:在電漿處理室中偵測至少一光發射信號,所偵測到的該至少一光發射信號包含因光學事件而引起的光發射;處理該至少一光發射信號;且自所處理的光發射信號偵測該光學事件之特徵,其中該至少一光發射信號的偵測步驟包含使用複數光學偵測器偵測複數光發射信號,且其中該複數光學偵測器係配置成監控大於50%的該電漿處理室之容積。
  2. 如申請專利範圍第1項之用於電漿處理系統中光學事件之偵測的方法,更包含下列步驟:在該電漿處理室中引燃電漿,其中該至少一光發射信號更包含因電漿而引起的光發射;且處理該至少一光發射信號以自因該光學事件而引起的該光發射抑制電漿光發射。
  3. 如申請專利範圍第2項之用於電漿處理系統中光學事件之偵測的方法,其中該光學事件為電弧事件。
  4. 如申請專利範圍第3項之用於電漿處理系統中光學事件之偵測的方法,其中該電弧事件的特徵包含光學信號,其具有低於該電漿光發射的光學信號之功率至少3個數量級的功率。
  5. 如申請專利範圍第4項之用於電漿處理系統中光學事件之偵測的方法,更包含下列步驟:記錄該電弧事件以用於故障偵測,或通知該電漿處理系統的操作者,或兩者。
  6. 如申請專利範圍第1項之用於電漿處理系統中光學事件之偵測的方法,其中在10μs或更低的時間解析度之情況下,執行該至少一光發射信號的該偵測步驟。
  7. 如申請專利範圍第1項之用於電漿處理系統中光學事件之偵測的方法,其中在1μs或更低的時間解析度之情況下,執行該至少一光發射信號的該偵測步驟。
  8. 如申請專利範圍第1項之用於電漿處理系統中光學事件之偵測的方法,其中該複數光學偵測器包含光二極體。
  9. 如申請專利範圍第1項之用於電漿處理系統中光學事件之偵測的方法,其中該複數光學偵測器包含感光耦合元件(CCD,charged-coupled device)。
  10. 如申請專利範圍第1項之用於電漿處理系統中光學事件之偵測的方法,其中該複數光學偵測器係配置以同時監控設置於該電漿處理室內的基板、聚焦環、及電容耦合RF電極。
  11. 如申請專利範圍第1項之用於電漿處理系統中光學事件之偵測的方法,其中該至少一光發射信號係由廣角鏡所收集。
  12. 如申請專利範圍第2項之用於電漿處理系統中光學事件之偵測的方法,其中在專用的電弧事件控制器中執行處理該至少一光發射信號的該步驟。
  13. 如申請專利範圍第1項之用於電漿處理系統中光學事件之偵測的方法,其中在亦偵測及處理電漿光學發射光譜(OES,optical emission spectroscopy)信號的控制器中執行處理該至少一光發射信號的該步驟。
  14. 如申請專利範圍第2項之用於電漿處理系統中光學事件之偵測的方法,其中所偵測到的光發射包含因電弧事件而引起的光發射,該電弧事件發生於在自基板去除夾持力的期間該電漿為關閉的情況下。
  15. 如申請專利範圍第1項之用於電漿處理系統中光學事件之偵測的方法,其中該至少一光發射信號的該偵測步驟係與下列至少一者的偵測同時執行:來自該電漿處理室之聲波發射、該電漿處理室中的RF信號、或電漿光學發射光譜(OES,optical emission spectroscopy)。
  16. 如申請專利範圍第1項之用於電漿處理系統中光學事件之偵測的方法,其中該光學事件為熄滅事件。
  17. 如申請專利範圍第1項之用於電漿處理系統中光學事件之偵測的方法,其中該複數光學偵測器係在與該電漿處理系統中正處理之基板平行的平面中以不同角度加以設置。
  18. 一種用於電漿處理系統中光學事件之偵測的設備,其包含:窗,其設置於該電漿處理系統之電漿處理室上,該窗用於提供通往該電漿處理室的光學接取;複數光學偵測器,其用於在該電漿處理室中經由光收集器來偵測因光學事件而引起的光發射信號;及控制器,其配置以執行下列操作:基於電漿之操作狀態來處理該光發射信號,且自所處理的光發射信號偵測該光學事件之特徵,其中該光發射信號的偵測步驟包含使用該複數光學偵測器偵測複數光發射信號,且其中該複數光學偵測器係配置成監控大於50%的該電漿處理室之容積。
  19. 一種用於電漿處理的系統,其包含:電漿處理室;複數光學偵測器,其用於在該電漿處理室中經由光收集器來偵測因光學事件而引起的光發射信號;及控制器,其配置以執行下列操作:基於電漿之操作狀態來處理該光發射信號,且自所處理的光發射信號偵測該光學事件之特徵,其中該光發射信號的偵測步驟包含使用該複數光學偵測器偵測複數光發射信號,且其中該複數光學偵測器係配置成監控大於50%的該電漿處理室之容積。
TW105137371A 2015-11-16 2016-11-16 電漿室用進階光學感測器及方法 TWI637153B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201562255573P 2015-11-16 2015-11-16
US62/255,573 2015-11-16

Publications (2)

Publication Number Publication Date
TW201728880A TW201728880A (zh) 2017-08-16
TWI637153B true TWI637153B (zh) 2018-10-01

Family

ID=58690269

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105137371A TWI637153B (zh) 2015-11-16 2016-11-16 電漿室用進階光學感測器及方法

Country Status (4)

Country Link
US (1) US10692705B2 (zh)
KR (1) KR20180073700A (zh)
TW (1) TWI637153B (zh)
WO (1) WO2017087378A1 (zh)

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10748797B2 (en) * 2017-01-18 2020-08-18 Applied Materials, Inc. Plasma parameters and skew characterization by high speed imaging
DE102017130988B4 (de) * 2017-12-21 2022-07-07 Infineon Technologies Ag Vorrichtungen und verfahren zur nutzung des photoakustischen effekts
US20190335078A1 (en) * 2018-04-30 2019-10-31 Macronix International Co., Ltd. Detection system and associated detection method for detecting occurrence of arcing phenomenon
CN110582155A (zh) * 2018-06-08 2019-12-17 北京北方华创微电子装备有限公司 等离子体启辉的检测装置及方法、工艺腔室
JP2020065013A (ja) * 2018-10-18 2020-04-23 東京エレクトロン株式会社 終点検出方法および終点検出装置
US10699871B2 (en) * 2018-11-09 2020-06-30 Applied Materials, Inc. System and method for spatially resolved optical metrology of an ion beam
US10903050B2 (en) * 2018-12-10 2021-01-26 Lam Research Corporation Endpoint sensor based control including adjustment of an edge ring parameter for each substrate processed to maintain etch rate uniformity
JP7186646B2 (ja) * 2019-03-22 2022-12-09 東京エレクトロン株式会社 基板処理装置および載置台上のフォーカスリングの有無の検知方法
US11688413B2 (en) 2019-12-19 2023-06-27 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for audio recognition of arcing during semiconductor process
KR20220030439A (ko) * 2020-08-31 2022-03-11 삼성전자주식회사 반도체 장치 제조 공정의 모니터링 방법 및 이를 포함하는 반도체 장치의 제조 방법
CN112458440B (zh) * 2020-11-18 2022-11-25 北京北方华创微电子装备有限公司 半导体工艺设备及其反应腔室和膜层沉积方法
KR102309039B1 (ko) * 2021-04-06 2021-10-06 국방과학연구소 아크 회전 속도의 확인이 가능한 아크 플라즈마 가열기
KR20230162963A (ko) * 2021-05-07 2023-11-29 메르센 유에스에이 이피 코퍼레이션 전기열차, 지하철, 트램 및 버스에서의 아크 감지 및 기록
EP4242904A3 (en) * 2021-07-02 2023-11-01 Comet AG Method for machine learning a detection of at least one irregularity in a plasma system
EP4113574B1 (en) * 2021-07-02 2024-01-03 Comet AG Method for machine learning a detection of at least one irregularity in a plasma system
KR20230092176A (ko) 2021-12-17 2023-06-26 삼성전자주식회사 플라즈마 공정 챔버의 화학종을 진단하는 진단 장치, 그것을 포함하는 화학종 진단 시스템 및 그것의 동작 방법
US20240230409A9 (en) * 2022-10-25 2024-07-11 Tokyo Electron Limited Time-Resolved OES Data Collection

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW589659B (en) * 2001-12-19 2004-06-01 Applied Materials Inc Plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
US7334477B1 (en) * 2004-12-22 2008-02-26 Lam Research Corporation Apparatus and methods for the detection of an arc in a plasma processing system
US20150241272A1 (en) * 2014-02-25 2015-08-27 Lei Lian Pulsed Plasma Monitoring Using Optical Sensor

Family Cites Families (55)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
IT649689A (zh) 1960-07-05
US3612692A (en) 1968-11-21 1971-10-12 Ibm Dielectric film thickness monitoring and control system and method
US4147435A (en) 1977-06-30 1979-04-03 International Business Machines Corporation Interferometric process and apparatus for the measurement of the etch rate of opaque surfaces
US5014217A (en) 1989-02-09 1991-05-07 S C Technology, Inc. Apparatus and method for automatically identifying chemical species within a plasma reactor environment
US5353790A (en) 1992-01-17 1994-10-11 Board Of Regents, The University Of Texas System Method and apparatus for optical measurement of bilirubin in tissue
US5347460A (en) 1992-08-25 1994-09-13 International Business Machines Corporation Method and system employing optical emission spectroscopy for monitoring and controlling semiconductor fabrication
US5308414A (en) 1992-12-23 1994-05-03 International Business Machines Corporation Method and apparatus for optical emission end point detection in plasma etching processes
US5450205A (en) 1993-05-28 1995-09-12 Massachusetts Institute Of Technology Apparatus and method for real-time measurement of thin film layer thickness and changes thereof
IL107549A (en) 1993-11-09 1996-01-31 Nova Measuring Instr Ltd Device for measuring the thickness of thin films
US5980767A (en) 1994-02-25 1999-11-09 Tokyo Electron Limited Method and devices for detecting the end point of plasma process
US5751416A (en) 1996-08-29 1998-05-12 Mississippi State University Analytical method using laser-induced breakdown spectroscopy
US6060328A (en) 1997-09-05 2000-05-09 Advanced Micro Devices, Inc. Methods and arrangements for determining an endpoint for an in-situ local interconnect etching process
US6535779B1 (en) 1998-03-06 2003-03-18 Applied Materials, Inc. Apparatus and method for endpoint control and plasma monitoring
US6081334A (en) 1998-04-17 2000-06-27 Applied Materials, Inc Endpoint detection for semiconductor processes
US6090302A (en) 1998-04-23 2000-07-18 Sandia Method and apparatus for monitoring plasma processing operations
US6132577A (en) 1998-04-23 2000-10-17 Sandia Corporation Method and apparatus for monitoring plasma processing operations
US6381008B1 (en) 1998-06-20 2002-04-30 Sd Acquisition Inc. Method and system for identifying etch end points in semiconductor circuit fabrication
US6582618B1 (en) 1999-09-08 2003-06-24 Advanced Micro Devices, Inc. Method of determining etch endpoint using principal components analysis of optical emission spectra
US6564114B1 (en) 1999-09-08 2003-05-13 Advanced Micro Devices, Inc. Determining endpoint in etching processes using real-time principal components analysis of optical emission spectra
JP3565774B2 (ja) 2000-09-12 2004-09-15 株式会社日立製作所 プラズマ処理装置及び処理方法
US6745095B1 (en) * 2000-10-04 2004-06-01 Applied Materials, Inc. Detection of process endpoint through monitoring fluctuation of output data
TW544791B (en) * 2000-11-28 2003-08-01 Tokyo Electron Ltd Apparatus for 2-D spatially resolved optical emission and absorption spectroscopy
US6825437B2 (en) * 2001-01-17 2004-11-30 Hitachi, Ltd. Apparatus enabling particle detection utilizing wide view lens
US6677604B2 (en) * 2001-03-30 2004-01-13 Tokyo Electron Limited Optical system and method for plasma optical emission analysis
US6815653B2 (en) 2002-04-15 2004-11-09 Taiwan Semiconductor Manufacturing Co., Ltd Method and apparatus for early detection of material accretion and peeling in plasma system
US20040058359A1 (en) 2002-05-29 2004-03-25 Lin Mei Erbin as a negative regulator of Ras-Raf-Erk signaling
US6830939B2 (en) 2002-08-28 2004-12-14 Verity Instruments, Inc. System and method for determining endpoint in etch processes using partial least squares discriminant analysis in the time domain of optical emission spectra
KR20050053715A (ko) 2002-09-30 2005-06-08 도쿄 일렉트론 가부시키가이샤 플라즈마 처리 시스템을 구비한 광학 시스템용 장치 및방법
TWI240326B (en) 2002-10-31 2005-09-21 Tokyo Electron Ltd Method and apparatus for determining an etch property using an endpoint signal
TWI240601B (en) 2002-11-26 2005-09-21 Tokyo Electron Ltd Plasma processing system and method
US6952657B2 (en) * 2003-09-10 2005-10-04 Peak Sensor Systems Llc Industrial process fault detection using principal component analysis
US7328126B2 (en) 2003-09-12 2008-02-05 Tokyo Electron Limited Method and system of diagnosing a processing system using adaptive multivariate analysis
US7241397B2 (en) 2004-03-30 2007-07-10 Tokyo Electron Limited Honeycomb optical window deposition shield and method for a plasma processing system
US7742167B2 (en) * 2005-06-17 2010-06-22 Perkinelmer Health Sciences, Inc. Optical emission device with boost device
JP4640828B2 (ja) 2006-03-17 2011-03-02 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
CN100568448C (zh) 2007-01-12 2009-12-09 北京北方微电子基地设备工艺研究中心有限责任公司 一种等离子刻蚀设备的刻蚀终点检测装置与方法
US7864502B2 (en) * 2007-05-15 2011-01-04 International Business Machines Corporation In situ monitoring of wafer charge distribution in plasma processing
US7427519B2 (en) 2007-07-25 2008-09-23 Macronix International Co., Ltd. Method of detecting end point of plasma etching process
JP2009054818A (ja) 2007-08-28 2009-03-12 Tokyo Electron Ltd プラズマ処理装置、プラズマ処理方法および終点検出方法
JP5192850B2 (ja) 2008-02-27 2013-05-08 株式会社日立ハイテクノロジーズ エッチング終点判定方法
US8158017B2 (en) * 2008-05-12 2012-04-17 Lam Research Corporation Detection of arcing events in wafer plasma processing through monitoring of trace gas concentrations
KR101520453B1 (ko) 2009-02-10 2015-05-20 삼성전자주식회사 플라즈마용 광학 장치
JP5383265B2 (ja) 2009-03-17 2014-01-08 株式会社日立ハイテクノロジーズ エッチング装置、分析装置、エッチング処理方法、およびエッチング処理プログラム
SG176797A1 (en) * 2009-06-30 2012-01-30 Lam Res Corp Automatic fault detection and classification in a plasma processing system and methods thereof
IE20090628A1 (en) 2009-08-17 2011-03-30 Lexas Res Ltd Method and apparatus for the detection of arc events during the plasma processing of a wafer, surface or substrate.
US8415884B2 (en) 2009-09-08 2013-04-09 Tokyo Electron Limited Stable surface wave plasma source
WO2011063407A2 (en) 2009-11-23 2011-05-26 The University Of Notre Dame Du Lac Methods and apparatus for plasma based adaptive optics
NL2005863A (en) 2009-12-28 2011-06-29 Asml Netherlands Bv Calibration method and apparatus.
US8173451B1 (en) 2011-02-16 2012-05-08 Tokyo Electron Limited Etch stage measurement system
KR20120126418A (ko) 2011-05-11 2012-11-21 (주)쎄미시스코 플라즈마 모니터링 시스템
KR20140030246A (ko) * 2011-06-06 2014-03-11 시크파 홀딩 에스.에이. 직렬식 감쇠 시간 스캐너
US20130016344A1 (en) 2011-07-14 2013-01-17 Larry Bullock Method and Apparatus for Measuring Process Parameters of a Plasma Etch Process
KR20130062791A (ko) 2011-12-05 2013-06-13 삼성전자주식회사 플라즈마 진단 장치 및 방법
US10128090B2 (en) * 2012-02-22 2018-11-13 Lam Research Corporation RF impedance model based fault detection
KR20140098477A (ko) * 2013-01-31 2014-08-08 삼성전자주식회사 플라즈마 마이크로 아킹 예측 방법 및 그를 이용한 생산 설비의 플라즈마 공정 관리 방법

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW589659B (en) * 2001-12-19 2004-06-01 Applied Materials Inc Plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
US7334477B1 (en) * 2004-12-22 2008-02-26 Lam Research Corporation Apparatus and methods for the detection of an arc in a plasma processing system
US20150241272A1 (en) * 2014-02-25 2015-08-27 Lei Lian Pulsed Plasma Monitoring Using Optical Sensor

Also Published As

Publication number Publication date
KR20180073700A (ko) 2018-07-02
US20170140905A1 (en) 2017-05-18
TW201728880A (zh) 2017-08-16
WO2017087378A1 (en) 2017-05-26
US10692705B2 (en) 2020-06-23

Similar Documents

Publication Publication Date Title
TWI637153B (zh) 電漿室用進階光學感測器及方法
TWI828612B (zh) 用於判定與電漿處理系統中之異常事件相關聯之化學物種的方法及設備
KR100816453B1 (ko) 공정챔버의 실시간 리크 검출 시스템
TWI411035B (zh) 使用經由利用平面離子通量探測配置所導出之參數的控制電漿處理之方法
TW200948210A (en) Systems for detecting unconfined-plasma events
JP2013545218A (ja) 電圧に基づくプラズマエクスカーション検出のためのシステム及び方法
JP2000349076A5 (zh)
TW201211522A (en) Reaction chamber air-leakage detection method and vacuum reactor control method
KR101591961B1 (ko) 플라즈마 처리 챔버의 플라즈마 상태 분석 장치 및 방법
JP4041579B2 (ja) プラズマ処理の終点検出方法及びそれを用いた半導体デバイスの製造方法
TWI575555B (zh) Plasma processing device and monitoring method of plasma processing technology
JP2003173896A (ja) 異常放電検出装置、異常放電検出方法、及び、プラズマ処理装置
KR101020076B1 (ko) 플라즈마 검출 시스템 및 방법
JP2001250812A (ja) プラズマ処理の終点検出方法及び終点検出装置
Hong et al. Optical in-situ plasma process monitoring technique for detection of abnormal plasma discharge
US20210307151A1 (en) Air Leak Detection In Plasma Processing Apparatus With Separation Grid
US7561258B2 (en) Wafer tilt detection apparatus and method
KR20130064472A (ko) 멀티 광 파장 모니터링을 이용한 공정 진단 방법
US20240230409A9 (en) Time-Resolved OES Data Collection
JP2003151955A (ja) プラズマエッチング方法
JPH05226296A (ja) スパッタエッチング装置の異常放電監視方法
KR20070048448A (ko) 플라즈마 공정을 모니터링하는 반도체 제조 장치
KR20080089021A (ko) 플라즈마 식각 장비 및 이의 클리닝 방법
JPH04304631A (ja) プラズマエッチング装置
KR20160096828A (ko) 종점 검출장치 및 이의 제어 방법