TWI631312B - 用於執行半導體樣品之度量衡之橢圓偏光計設備 - Google Patents

用於執行半導體樣品之度量衡之橢圓偏光計設備 Download PDF

Info

Publication number
TWI631312B
TWI631312B TW106130101A TW106130101A TWI631312B TW I631312 B TWI631312 B TW I631312B TW 106130101 A TW106130101 A TW 106130101A TW 106130101 A TW106130101 A TW 106130101A TW I631312 B TWI631312 B TW I631312B
Authority
TW
Taiwan
Prior art keywords
aoi
illumination
sample
polarization
output beam
Prior art date
Application number
TW106130101A
Other languages
English (en)
Other versions
TW201743029A (zh
Inventor
Y 王大衛
伏羅克克勞斯
洛特勞倫絲
克里許南桑卡
維爾喬漢斯 D 迪
飛利浦卡塔林
布萊迪葛萊茍里
阿藍穆沙米爾
舒傑葛洛夫安德烈
Original Assignee
美商克萊譚克公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商克萊譚克公司 filed Critical 美商克萊譚克公司
Publication of TW201743029A publication Critical patent/TW201743029A/zh
Application granted granted Critical
Publication of TWI631312B publication Critical patent/TWI631312B/zh

Links

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/21Polarisation-affecting properties
    • G01N21/211Ellipsometry
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9501Semiconductor wafers
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/956Inspecting patterns on the surface of objects
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B2210/00Aspects not specifically covered by any group under G01B, e.g. of wheel alignment, caliper-like sensors
    • G01B2210/56Measuring geometric parameters of semiconductor structures, e.g. profile, critical dimensions or trench depth
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/21Polarisation-affecting properties
    • G01N21/211Ellipsometry
    • G01N2021/214Variangle incidence arrangement
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/8806Specially adapted optical and illumination features
    • G01N2021/8848Polarisation of light
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2201/00Features of devices classified in G01N21/00
    • G01N2201/06Illumination; Optics
    • G01N2201/061Sources
    • G01N2201/06113Coherent sources; lasers
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2201/00Features of devices classified in G01N21/00
    • G01N2201/06Illumination; Optics
    • G01N2201/063Illuminating optical parts
    • G01N2201/0636Reflectors

Landscapes

  • Physics & Mathematics (AREA)
  • Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Biochemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Immunology (AREA)
  • Pathology (AREA)
  • Investigating Or Analysing Materials By Optical Means (AREA)

Abstract

本發明揭示一種設備,該設備包含:(i)一亮光源,其用於提供處於具有自一深紫外線波長至一紅外線波長之一範圍之可選擇之多個波長之一照明光束;(ii)照明光學器件,其用於以可選擇之入射角(AOI)或方位角(AZ)組及偏光狀態將該照明光束引導朝向一樣品以提供光譜橢圓偏光量測,其中該照明光學器件包含用於控制處於該等可選擇AOI/AZ組中之各者之該照明光束在該樣品上之一光點大小之一切趾器;(iii)收集光學器件,其用於將回應於處於該等可選擇AOI/AZ組中之各者及偏光狀態之該照明光束之來自該樣品之一輸出光束引導朝向基於該輸出光束產生一輸出信號或影像之一偵測器;及(iv)一控制器,其用於基於該輸出信號或影像表徵化該樣品之一特徵。

Description

用於執行半導體樣品之度量衡之橢圓偏光計設備
本發明一般係關於半導體度量衡系統之領域。更特定而言,本發明係關於橢圓偏光量測、反射量測及散射量測系統。
隨著對於不斷縮小之半導體裝置之需求持續增加,對於經改良半導體晶圓度量衡系統之需求亦將增加。半導體裝置(諸如邏輯及記憶體裝置)之製造通常包含使用較大數目之半導體製造程序來處理一半導體晶圓以形成半導體裝置之多種特徵及多個層級。可在一單一半導體晶圓上之一配置中製造多個半導體裝置且接著將該多個半導體裝置分離成個別半導體裝置。
在一半導體製造程序期間之多種步驟下使用度量衡程序以監測且控制一或多個半導體層程序。舉例而言,使用度量衡程序以量測一晶圓之一或多個特性,諸如在一程序步驟期間於該晶圓上形成之特徵之尺寸(例如,線寬、厚度、角度等),其中可藉由量測該一或多個特性判定該程序步驟之品質。在此情況中,一給定半導體樣品可包含一組度量衡目標,其中膜堆疊或二維及三維圖案化結構由具有多種幾何形狀及性質之一或多個材料包圍。
光譜橢圓偏光量測(SE)度量衡量測對以不同光學參數自度量衡目標反射之光進行取樣。使用度量衡目標之SE資料以判定晶圓特徵。存在對於經改良SE度量衡工具之一持續需要(例如)使得可容易地解耦合針對不同目標特性之SE資料。
以下呈現本發明之一簡化提要以提供本發明之某些實施例之一基本理解。此提要并非對本發明之一廣泛概述且其并不識別本發明之關鍵/重要元素或劃界本發明之範疇。其唯一目的係以一簡化形式呈現本文中揭示之某些概念作為隨後呈現之更詳細闡述之一前奏。
在一項實施例中,揭示用於執行一半導體樣品之度量衡之一橢圓偏光計設備。該設備包含一照明光學器件模組,其用於提供處於自一真空紫外線(VUV)波長至一紅外線(IR)波長之一範圍內可選擇之複數個波長之一照明光束且以複數個入射角(AOI)及/或方位角(AZ)將該照明光束引導朝向樣品;及一收集光學器件模組,其用於收集處於複數個離散AOI及/或AZ範圍之自樣品發出之一輸出光束且將此輸出光束引導至一偵測器模組。該等離散範圍係一次一個地經收集,且該輸出光束係回應於該樣品上之該照明光束。該照明光學器件模組包含用於產生針對該照明光束之複數個偏光狀態之偏光產生光學元件,且該收集光學器件模組包含用於分析該輸出光束之該偏光狀態之偏光分析光學元件。該照明光學器件模組及收集光學器件模組包含在該偏光產生光學元件與該偏光分析光學元件之間之反射光學元件。該設備進一步包含該偵測模組,其用於接收且偵測處於該等離散AOI及/或AZ範圍及該等偏光狀態之來自該樣品之該輸出光束且基於處於該等離散AOI及/或AZ範圍及該等偏光狀態之該輸出光束產生複數個信 號。該設備亦包含一或多個控制器,其經組態以控制以下操作中之一或多者:選擇一波長範圍;針對該輸出光束之收集選擇該等離散AOI及/或AZ範圍中之一或多者;選擇該等偏光狀態;及分析處於該等離散AOI及/或AZ範圍及該等偏光狀態之該等信號以判定該樣品之一特性。在一項實例中,該樣品係一半導體晶圓上之一或多個目標。
在一特定實施方案中,該等離散AOI及/或AZ範圍彼此空間分離。在另一態樣中,波長範圍係介於約150nm至約2000nm之間。在另一態樣中,照明光學器件模組包含用於產生照明光束之一亮雷射持續電漿(LSP)源。在一項態樣中,LSP源產生處於等於或大於約0.1W/nm/cm2/sr之一峰值亮度之照明光束。在一項態樣中,離散AOI或AZ子組各自經分離至少0.1°。在另一態樣中,離散AOI及/或AZ範圍包含大於約60°之一AOI。在一特定態樣中,離散AOI及/或AZ範圍包含自0至360度之複數個離散AZ範圍。在此實施例中,該設備可包含用於使樣品旋轉以獲得在0度與360度之間之離散AZ範圍之一定位機構。在另一態樣中,離散AOI及/或AZ範圍包含自0度至90度之複數個離散AZ範圍。
在一項實施例中,照明光學器件模組包含用於提供處於與由收集光學器件模組收集且偵測之離散AOI及/或AZ範圍實質上相同之離散AOI及/或AZ範圍中之各者之照明光束之複數個固定光圈或一可移動光圈。在一項態樣中,照明光學器件模組包含用於一次一個地提供處於離散AOI及/或AZ範圍中之各者之照明光束之複數個固定光圈及在各個固定光圈上方之一快門。在另一態樣中,照明光學器件模組進一步經組態以同時提供處於實質上包含如由收集光學器件模組一次一個地收集且偵測之離散AOI及/或AZ範圍之一AOI及/或AZ範圍之照明光束。在另一實施例中,收集光 學器件模組包含用於一次一個地收集處於離散AOI及/或AZ範圍中之各者之輸出光束之複數個固定光圈及在各個固定光圈上方之一快門。在另一實施例中,收集光學器件模組包含用於一次一個地收集處於離散AOI及/或AZ範圍中之各者之輸出光束之一可移動光圈或具有在各個固定光圈上方之一快門之複數個靜止光圈。
在一特定實施方案中,偏光產生光學元件在照明光學器件模組中包含一偏光器及一第一補償器,且偏光分析光學元件在收集光學器件模組中包含一第二補償器及一分析器,且選擇偏光狀態包含:使偏光器、第一及第二補償器及分析器中之任何一或多者旋轉或保持靜止。在另一實施例中,偏光產生光學元件包括一偏光器及一分析器,且選擇偏光狀態包含:使偏光器旋轉且保持分析器靜止。在進一步態樣中,偏光分析光學元件進一步包括一收集補償器,且選擇偏光狀態進一步包含:使收集補償器旋轉。在一進一步態樣中,照明光學器件模組包含用於針對各個離散AOI及/或AZ範圍使樣品上之一目標上方之焦點之點擴散函數最小化之一切趾器。照明(或收集)切趾可通常經界定為更改一光學系統之入射光瞳中之光分佈(例如,使用一遮罩以更改照明或收集光束之振幅及/或相位)藉此改變照明(或收集)光束之強度分佈。在又一進一步態樣中,偏光產生光學元件進一步包括一照明補償器,且選擇偏光狀態進一步包含:使照明補償器旋轉。
在另一實例中,偏光產生光學元件包括一偏光器及一照明補償器且偏光分析光學元件包括一分析器,且選擇偏光狀態包含:使照明補償器旋轉且保持偏光器及分析器靜止。在一進一步態樣中,偏光分析光學元件進一步包括一收集補償器,且選擇偏光狀態進一步包含:使收集補償器旋 轉。在另一實施例中,偏光產生光學元件包括一偏光器且偏光分析光學元件包括一分析器,且選擇偏光狀態包含保持偏光器靜止以及使分析器旋轉。
在一特定實施方案中,照明光學器件模組進一步包括用於針對離散AOI及/或AZ範圍中之各者整形照明光束且控制在樣品上之一目標處之一焦點之點擴散函數之一或多個光束整形光學元件。舉例而言,一或多個光束整形元件經組態以將由樣品上之照明光束引起之在自一照明光點之一中心之一預定義距離處之輻照度減小至小於在照明光點之中心處之一峰值輻照度之一預定義值。
在一實例性態樣中,一或多個光束整形元件係各擁有不可經重新組態之一光學功能之切趾器。該設備進一步包含用於將切趾器中之經選擇者移動至共軛於照明光束之一光瞳之一平面中或附近之一定位機構,且控制器進一步經組態以導致定位機構移動切趾器中之經選擇者。切趾器提供對應於全部離散AOI及/或AZ範圍之預定義照明分佈。
在另一實例中,一或多個光束整形元件係位於共軛於照明光束之一光瞳之一平面處或附近之一動態可調整切趾器,且動態可調整切趾器可組態以提供對應於全部離散AOI及/或AZ範圍之預定義照明分佈。控制器進一步經組態以調整動態可調整切趾器。
在另一實施例中,收集光學器件模組包括位於或可移動至共軛於一收集光瞳之一平面處或附近之位置之一或多個切趾器,且切趾器提供對應於全部離散AOI及/或AZ範圍之預定義收集分佈。
在另一實例中,照明光學器件模組包括(i)一第一離軸抛物面(OAP)鏡及(ii)一第一平移鏡,該第一平移鏡可移動以在複數個位置處接收照明 光束以將照明光束引導至第一OAP鏡上之複數個位置使得第一OAP鏡以離散AOI及/或AZ範圍將照明光束一次一個地反射至樣品。在此實例中,收集光學器件模組包括(i)一偵測器、(ii)一第二OAP鏡及(iii)一第二平移鏡,該第二平移鏡可移動以在複數個位置處接收輸出光束以將輸出光束引導至第二OAP鏡上之複數個位置使得第二OAP鏡將處於離散AOI及/或AZ範圍之輸出光束一次一個地反射至偵測器。
在另一實施例中,照明光學器件模組具有一光束分裂器、一離軸抛物面(OAP)鏡及一平移鏡,其可移動以經由光束分裂器在平移鏡之複數個平移位置處接收照明光束以便將照明光束引導至OAP鏡上之複數個對應位置使得OAP鏡以離散AOI及/或AZ範圍將照明光束一次一個地反射至樣品。收集光學器件模組包括(i)光束分裂器,(ii)OAP鏡,(iii)平移鏡及(iv)一球面鏡,該球面鏡用於將輸出光束往回反射朝向樣品以導致一第二輸出光束自樣品發出以自OAP鏡上之對應位置反射且接著在複數個平移位置處自平移鏡反射朝向光束分裂器且至偵測器以便一次一個地收集處於離散AOI及/或AZ範圍之第二輸出光束。
在另一態樣中,收集光學器件模組進一步可組態以藉由以一或多個AOI照明且在一或多個不同AOI範圍內收集而自輸出光束收集0級光用於明視場度量衡且自輸出光束收集非0級光用於暗視場度量衡。在一實施方案中,收集光學器件模組收集與自樣品反射之照明AOI(AZ)相同之AOI(AZ)。在另一實例中,收集光學器件模組收集不同於自樣品反射之照明AOI(AZ)之AOI(AZ)。在又一實例中,收集光學器件模組含有用於將光分散至一光譜中之一分散元件。在另一實施例中,該設備之照明光瞳及收 集光瞳經配置以設定照明及收集數值孔徑,且其中該設備之照明及收集視場光闌經配置以設定一源大小及影像大小。
在一替代實施例中,該設備包括(i)一照明光學器件模組,其用於提供處於自一真空紫外線(VUV)波長至一紅外線(IR)波長之一範圍內可選擇之複數個波長之一照明光束且以複數個入射角(AOI)及/或方位角(AZ)將該照明光束引導朝向樣品,及(ii)一收集光學器件模組,其用於收集處於實質上全部AOI或AZ之自樣品發出之一輸出光束且將此輸出光束實質上同時引導至一或多個偵測器上,且輸出光束對應於樣品上之照明光束。照明光學器件模組包含用於產生針對照明光束之複數個偏光狀態之偏光產生光學元件,收集光學器件模組包含用於分析輸出光束之偏光狀態之偏光分析光學元件,且照明光學器件模組及收集光學器件模組包含在用於產生複數個偏光狀態之光學元件與用於分析偏光狀態之光學元件之間之反射光學元件。該設備亦包含用於接收且偵測處於AOI及AZ及偏光狀態之來自樣品之輸出光束以基於處於此等AOI及/或AZ及偏光狀態之輸出光束產生複數個信號或影像之一或多個偵測器;及一或多個控制器,該一或多個控制器各經組態以控制以下操作中一或多者:選擇一波長範圍;選擇偏光狀態;及分析處於波長、AOI及/或AZ及經選擇偏光狀態之信號或影像以判定樣品之一特特性。
在一項實施方案中,收集光學器件包含一或多個分散元件,該等分散元件用於在一波長方向分散波長且在一AOI/AZ方向分散AOI及/或AZ,藉此沿著兩個不同偵測方向分散波長及AOI及/或AZ。在一進一步態樣中,兩個不同方向彼此正交。在另一態樣中,一或多個分散元件針對兩個不同方向具有兩個不同光學功率。在又一態樣中,一或多個偵測器包括複 數個偵測器,且各個偵測器經組態以解析經分散波長且在不同AOI區域中之一者上方整合。在一進一步態樣中,收集光學器件模組進一步包括用於將來自一或多個分散元件之輸出光束劃分成各經輸出至偵測器中之一者之不同AOI區域之子劃分光學器件。在另一實例中,收集光學器件進一步包括定位於波長平面與AOI/AZ平面之間之重成像光學器件,且重成像光學器件經組態以使波長平面重成像至各個偵測器上。
在另一實施方案中,收集光學器件模組包括在共軛於一光瞳之一平面中之用於自輸出光束之複數個空間分離AOI/AZ區域選擇性透射一特定AOI/AZ區域之一AOI/AZ遮罩,及用於接收特定AOI/AZ區域且解析經分散波長且在特定AOI/AZ區域上方整合此等經解析波長之一偵測器,且控制器進一步經組態以一次選擇一個特定AOI/AZ區域。在一進一步態樣中,波長平面經定位於AOI/AZ平面之前。在另一態樣中,AOI/AZ遮罩由複數個固定光圈及在各個固定光圈上之一快門組成。在又一實例中,AOI/AZ遮罩由一固定、可移動光圈組成。
在另一實施例中,收集光學器件模組包括用於解析經分散波長、在不同AOI區域上方與在其中AOI未經解析及分析之不同AOI區域中之毗鄰者之間之光反應遲鈍區整合之一單一偵測器。在另一態樣中,收集光學器件包含用於將輸出光束分裂成一第一輸出光束及一第二輸出光束之一光束分裂器、用於接收該第一輸出光束且沿著一第一偵測器之兩個不同偵測方向分散輸出光束之波長及AOI之一第一分散元件,及用於接收該第二輸出光束且沿著一第二偵測器之兩個不同偵測方向分散輸出光束之波長及AZ之一第二分散元件。在另一實例中,波長平面定位於與AOI/AZ平面相同之一平面處。在另一態樣中,收集光學器件模組包括具有用於並行處理來 自兩個不同AOI區域之資料之至少兩個暫存器之一偵測器。在另一實施例中,照明及收集光學器件模組包含如上文中闡述之特徵。
在另一實施例中,該設備包含(i)一或多個亮光源,其用於提供處於自一真空紫外線(VUV)波長至一紅外線(IR)波長之一範圍內可選擇之複數個波長之一照明光束;(ii)照明光學器件,其用於以複數個可選擇入射角(AOI)及/或方位角(AZ)組及複數個偏光狀態將該照明光束引導朝向一樣品,其中該照明光學器件包括用於控制處於該等可選擇AOI及/或AZ組中之各者之該照明光束在該樣品上之一照明光點之一光點大小之至少一切趾器;(iii)收集光學器件,其用於以該等可選擇AOI或AZ組中之各者及偏光狀態,將回應於該照明光束自該樣品發出之一輸出光束引導朝向一偵測器;(iv)該偵測器,其用於基於該輸出光束產生一輸出信號或影像;及(v)一控制器,其用於基於隨波長、AOI及/或AZ及/或偏光狀態而變之該輸出信號或影像而表徵化該樣品之一特徵。
在一項實施例中,一或多個亮光源包括一雷射持續電漿(LSP)源。在一特定實施方案中,至少一個切趾器包括一組切趾器,其各擁有不可經重新組態之一光學功能,且其可移動至一照明光瞳平面中及自其移動出,且各個固定切趾器經組態以用於針對可選擇AOI或AZ組中之各者控制光點大小。在另一態樣中,在共軛於照明光瞳平面之一平面處或附近存在至少一個切趾器用於針對全部可選擇AOI或AZ組控制光點大小。在另一態樣中,至少一個切趾器係可組態以藉由將在自一照明光點之一中心之一預定義距離處之輻照度減小至小於在照明光點之中心處之一峰值輻照度之一預定義值而控制光點大小之一動態可調整切趾器。在另一實施例中,至少一個切趾器可組態以藉由抑制照明光束中之旁波瓣而控制光點大小。在又一 實例中,該至少一個切趾器亦可組態用於樣品上之複數個不同類型之目標。
在另一態樣中,照明光學器件包括用於以可選擇AOI或AZ組中之各者掃描樣品上之照明光束之一掃描鏡,且收集光學器件包括用於一次一個地解析經選擇AOI或AZ組之一AOI/AZ選擇器。在一進一步態樣中,AOI/AZ選擇器包含複數個固定光圈及用於各個固定光圈之一快門或至少一個可移動光圈。在另一態樣中,至少一切趾器係一動態可調整切趾器。在一項實例中,動態可調整切趾器係一空間光調變器(SLM)。在另一實施例中,至少一個切趾器可組態以形成針對可選擇AOI或AZ組之複數個二元振幅型樣。在另一實例中,至少一個切趾器經組態以針對可選擇AOI或AZ組形成複數個振幅型樣,其中至少一個振幅型樣持續可變。
在一替代實施例中,本發明係關於在一度量衡系統上執行光譜橢圓偏光量測之一方法。該方法包含(i)以多個波長產生照明光;(ii)針對該照明光選擇複數個不同偏光狀態;(iii)針對該照明光選擇複數個入射角(AOI)或方位角(AZ);(iv)整形且引導該照明光而以該多個波長、不同偏光狀態及AOI或AZ在一目標上形成一光點;(v)收集且偵測回應於該照明光自該樣品發出之輸出光且基於隨各波長、不同偏光狀態及AOI或AZ而變之該經偵測輸出光產生一信號或影像各個;及(vi)分析該信號或影像以判定該樣品之一特徵。
參考圖式在下文進一步闡述本發明之此等及其他態樣。
100‧‧‧光譜橢圓偏光量測工具/度量衡系統
102‧‧‧照明光學器件
104‧‧‧收集光學器件
106‧‧‧光源
108‧‧‧橢圓偏光計照明光學器件
110‧‧‧偏光器
112‧‧‧照明補償器/第一補償器
114‧‧‧光圈元件
115‧‧‧鏡
116‧‧‧鏡
117‧‧‧鏡
118‧‧‧鏡
120‧‧‧第二補償器
122‧‧‧分析器
124‧‧‧偵測器
126‧‧‧光圈元件
130‧‧‧控制器
131‧‧‧定位機構
132‧‧‧樣品
200‧‧‧可組態光譜橢圓偏光量測工具/系統
204‧‧‧收集光學器件
224‧‧‧偵測器模組
226‧‧‧收集鏡
227‧‧‧分光計功能
230‧‧‧控制器
231‧‧‧定位機構
300‧‧‧光譜橢圓偏光量測工具/系統
302‧‧‧橢圓偏光計照明光學器件
304‧‧‧橢圓偏光計收集光學器件
306‧‧‧波長及入射角分散光學器件
308‧‧‧平面
309‧‧‧分散結果
310‧‧‧入射角子劃分光學器件
312‧‧‧重成像光學器件
314a‧‧‧偵測器
314b‧‧‧偵測器
314c‧‧‧偵測器
330‧‧‧控制器
331‧‧‧定位機構
348‧‧‧波長及入射角(及/或方位角)分散光學器件
350‧‧‧光譜橢圓偏光量測工具/系統
351‧‧‧遮罩
352‧‧‧偵測器
354‧‧‧視圖
360‧‧‧控制器
361‧‧‧定位機構
370‧‧‧偵測器
372a‧‧‧入射角區域
372b‧‧‧入射角區域
372c‧‧‧入射角區域
374a‧‧‧光學非作用中區域
374b‧‧‧光學非作用中區域
380‧‧‧收集側光學器件/波長及方位角光學器件
382‧‧‧橢圓偏光計收集光學器件
384‧‧‧分離器
386‧‧‧波長及入射角分散光學器件
388‧‧‧波長及入射角偵測器模組
390‧‧‧波長及方位角偵測器模組
400‧‧‧可組態光譜橢圓偏光量測工具/系統
402‧‧‧照明光學器件
404‧‧‧切趾器
405‧‧‧切趾器
406‧‧‧固定鏡
407‧‧‧掃描鏡
408‧‧‧方向
411‧‧‧方向
414‧‧‧鏡
416‧‧‧鏡
430‧‧‧控制器
450‧‧‧可組態光譜橢圓偏光量測工具/系統
452‧‧‧照明光
454‧‧‧旋轉補償器
456‧‧‧切趾器
458‧‧‧照明光隙
461‧‧‧定位機構
500‧‧‧光圈系統
502‧‧‧反射基板
504a‧‧‧吸收或非反射區域
504b‧‧‧吸收或非反射區域
504c‧‧‧吸收或非反射區域
506a‧‧‧孔洞/通孔
506b‧‧‧孔洞/通孔
506c‧‧‧孔洞/通孔
508a‧‧‧快門
508b‧‧‧快門
508c‧‧‧快門
510a‧‧‧光線
510b‧‧‧光線
510c‧‧‧光線
512a‧‧‧光線
512b‧‧‧光線
514‧‧‧方向
550‧‧‧實例性光圈系統
554a‧‧‧固定光圈
554b‧‧‧固定光圈
554c‧‧‧固定光圈
556a‧‧‧吸收或非反射遮罩材料
556b‧‧‧吸收或非反射遮罩材料
556c‧‧‧吸收或非反射遮罩材料
560a‧‧‧光線
560b‧‧‧光線
560c‧‧‧光線
562a‧‧‧光線
562b‧‧‧光線
562c‧‧‧光線
564‧‧‧方向
602‧‧‧光圈盤
604‧‧‧光圈盤
606‧‧‧光圈盤
608a‧‧‧光圈組態
608b‧‧‧光圈組態
610a‧‧‧第一光圈組態
612a‧‧‧光圈組態
612b‧‧‧光圈組態
612c‧‧‧光圈組態
614‧‧‧入射光/光線束
620‧‧‧不透明部分
622‧‧‧水平透明帶
624‧‧‧不透明部分
630‧‧‧光圈組態
632‧‧‧不透明部分
634‧‧‧方形透明部分
652‧‧‧垂直透明帶
654‧‧‧不透明部分
656‧‧‧不透明部分
700‧‧‧可組態度量衡工具/系統
702‧‧‧照明側
704‧‧‧收集光學器件
710a‧‧‧離軸抛物面鏡
710b‧‧‧離軸抛物面鏡
712‧‧‧可移動平移鏡/可移動照明鏡
712a‧‧‧位置
712b‧‧‧位置
713‧‧‧收集平移鏡
713a‧‧‧位置
713b‧‧‧位置
714a‧‧‧方向
714b‧‧‧方向
716‧‧‧準直光束
720‧‧‧凸面鏡
722a‧‧‧反射鏡
722b‧‧‧反射鏡
730‧‧‧控制器
750‧‧‧雙通度量衡工具/系統
752‧‧‧光束分裂器
754‧‧‧球面鏡/球形表面
756‧‧‧量測點
760‧‧‧離軸抛物面鏡
761‧‧‧定位機構
762‧‧‧可移動平移鏡/可移動照明鏡
762a‧‧‧位置
762b‧‧‧位置
764‧‧‧方向
780‧‧‧控制器
791‧‧‧定位機構
800‧‧‧光譜橢圓偏光度量衡程序
圖1係根據本發明之一項實施例之用於收集處於特定入射角(AOI)之光之一光譜橢圓偏光量測(SE)工具之一圖解表示。
圖2係根據本發明之一第二實施例之用於同時偵測多重AOI之一SE工具之一圖解表示。
圖3A係根據本發明之一特定實施方案之用於在多個偵測器處同時收集多重AOI區域之一SE工具之一簡化圖解實例。
圖3B係根據本發明之另一特定實施方案之具有用於自多重AOI之間選擇性偵測一AOI範圍之一可組態AOI遮罩之一SE工具之一簡化圖解第二實例。
圖3C繪示根據一替代實施例之一單一偵測器上之多重AOI/AZ區域之同時收集。
圖3D係根據一項實施例之用於同時收集分散AOI及AZ之收集側光學器件之一圖解表示。
圖4A係根據本發明之另一實施例之具有一可組態組之切趾器之一可組態SE工具之一圖解表示。
圖4B係根據本發明之一替代實施例之具有動態可調整切趾器之一可組態SE工具之一圖解表示。
圖5A係根據一項實施方案之一實例性光圈系統之一圖解側視圖。
圖5B係根據第二實施方案之一實例性光圈系統之一圖解側視圖。
圖6A係根據本發明之一項實施例之一照明選擇器之一圖解透視圖。
圖6B繪示組合三個光圈組態以達成一光圈組態之一第二實例。
圖7A係根據本發明之另一實施例之具有離軸抛物面(OAP)鏡之可組態度量衡工具之一圖解表示。
圖7B係根據本發明之一替代實施方案之具有離軸抛物面(OAP)鏡之可組態雙通度量衡工具之一圖解表示。
圖8係根據本發明之一項實施例繪示一SE度量衡程序之一流程圖。
[相關申請案之交叉參考]
本申請案主張以下美國臨時專利申請案之優先權:(i)David Y.Wang等人於2013年1月14日申請之標題為「Multiple Angle of Incidence Semiconductor Metrology System」之美國臨時專利申請案第61/752,202號,及(ii)David Y.Wang等人於2013年9月16日申請標題名為「Multiple Angle of Incidence Semiconductor Metrology System and Methods」之美國臨時專利申請案第61/878,561號。出於全部目的將此等申請案以全文引用之方式併入本文中。
在以下闡述中,提出若干特定細節以提供對本發明之一透徹理解。可在無某些或全部此等特定細節之情況下實施本發明。在其他例項中,未詳細闡述眾所周知組件或程序操作以不會不必要地使本發明模糊。雖然將結合特定實施例闡述本發明,但應理解,未意欲將本發明限於該等實施例。
使用一光譜橢圓偏光計(SE)系統之一問題係關於經偵測資料之耦合。來自一特定樣品(諸如一半導體晶圓或主光罩)之經量測橢圓偏光量測參數取決於若干度量衡參數,諸如波長(λ)及x及y目標位置。一般而言,處於不同工具設定之橢圓偏光量測資料(例如,λ、目標位置等)經獨立收集且輸入至一模型以演繹目標特性,諸如CD或重疊。隨著目標變得更複雜,針對目標特性之改變之經收集資料變得高度相關聯或不足使得模型無法精確判定目標特性。
雖然可經組態以產生不同特定窄AOI範圍之一SE系統可突破目標參數之間之相關性,但此一系統可能不能夠針對各個窄範圍之AOI及所得NA提供小光點大小。舉例而言,具有AOI選擇之SE系統可不包含一機構用於達成一足夠小之光點大小,其可用於量測某些小尺寸特徵(例如,等於或小於40微米×40微米)。另外,此等SE系統可具有AOI之一有限範圍且不可達成用於提供對於某些度量衡應用之高靈敏度之近布魯斯特角。
為了簡短,術語「AOI」在本文中用作為片語「離散、窄AOI範圍」之一簡寫。類似地,術語「離散AOI」與術語「離散AOI範圍」交替使用。某些SE系統可經組態且與一單一或離散AOI一起設定。然而,SE系統一般不可實際僅產生一單一或離散AOI,但替代地產生圍繞「經選擇」單一或離散AOI定中心之一小AOI範圍或包含「經選擇」單一AOI。在其他SE系統中,可將一小窄範圍之AOI輸入作為一設定或配方參數,與輸入一單一或離散AOI相反。
本發明之某些橢圓偏光量測實施例提供用於以同時或循序多重入射角(AOI)及方位角(AZ)獲得量測之一橢圓偏光計,其往往突破目標特性之間之此等相關性。橢圓偏光計工具亦可包含可組態以判定目標之穆勒矩陣元件之全部或一子組之偏光狀態產生及偏光狀態分析光學組件。工具亦可包含可組態至一廣範圍之波長範圍(包含VUV(真空紫外線)、可見光、近紅外線(NIR)及紅外線(IR))之一亮光源。在某些實施例中,一SE系統提供不同可選擇AOI組態,包含AOI及多重AOI、同時及循序AOI、針對穆勒矩陣橢圓偏光量測之可組態偏光狀態以及可選擇之VUV至NIR波長範圍。此系統實施例之照明側(以及本文中闡述之其他實施例)可包含反射光學器件以適合於VUV至UV且跨整個VUV至IR範圍經廣泛顏色校正。
在某些實施例中,可提供一光譜橢圓偏光量測(SE)中之多重AOI及AZ以藉由使用固定照明與收集光瞳及固定照明與收集視場光闌而容許經改良之量測可重複性及穩定性。圖1係根據本發明之一項實施例之用於在特定入射角(AOI)處收集光之一光譜橢圓偏光量測(SE)工具100之一圖解表示。一般而言,度量衡工具可包含用於提供處於可組態波長之亮照明光之照明光學器件102及用於一次一個地或同時偵測處於離散、空間分離之AOI範圍(或離散之AZ範圍)之來自樣品(樣品)132上之一目標之光之收集光學器件104。照明光學器件102及收集光學器件104亦可經組態以產生且收集具有多種偏光狀態(包含用於判定目標之完整或部分穆勒矩陣之偏光狀態)之光。
如所示,照明光學器件102包含用於產生一照明光束之一或多個光源,例如光源106。在所繪示之實施方案中,一或多個照明源102可包含涵蓋真空UV至近紅外線之波長範圍(例如,約150nm至約2000nm)之一或多個寬頻源。在一項實例中,照明源係用於產生高亮度光之一雷射持續(LSP)源。一項實例性LSP係購自馬薩諸塞州沃本之Energetiq Technology,Inc.之一EQ-1000。若干LSP實施例闡述於以下美國專利申請案中:Donald K.Smith等人於2011年5月3日申請之標題為「Laser-Driven Light Source」之公開案第US 2011/0204265號及Donald K.Smith等人於2008年7月2日申請之標題為「Laser-Driven Light Source」之公開案第2009/0032740號,該等公開案以引用之方式併入本文中。其他光源可包含固態雷射或其他類型之雷射。
在一項實例中,一或多個光源亦提供用於產生57至73度之範圍中之同時AOI之至少一峰值亮度0.1W/(nm cm2Sr)。在另一態樣中,一或多個光源可針對約190nm之波長提供至少一峰值亮度0.1W/(nmcm2Sr)。
度量衡系統亦可包含至光源之一快速回饋以穩定其功率及波長。用於控制此等LSP及其他寬頻光源之若干機構進一步闡述於Daniel Kandel等人於2010年8月31日申請之標題為「Metrology Systems and Methods」之美國專利申請公開案第2011/0069312中,該申請案以引用之方式併入本文中。光源亦可包括一氙氣燈及/或一氘氣燈。
在另一實施方案中,光源由形成為可選擇以涵蓋不同範圍之波長之不同組雷射二極體之複數個雷射二極體組成,如特定度量衡應用中所需要。舉例而言,雷射二極體陣列提供自深UV(紫外線)、UV、VIS(可見光)及NIR(近紅外線)範圍選擇性獲得之波長寬度。雷射二極體陣列之若干實施例進一步闡述於Anant Chimmalgi等人於2013年6月21日申請之標題為「Diode Laser Based Broad Band Light Sources for Wafer Inspection Tools」之美國申請案第13/924,216中,該申請案以全文引用之方式併入本文中。
照明光學器件102亦可包含用於調節照明光束(包含設定光圈及視場光闌位置及大小)且針對一偏光器110調節照明光束之橢圓偏光計照明光學器件108。橢圓偏光計照明光學器件108通常可經組態以執行任何適合光束整形功能,諸如操縱光束分佈、校準、彙聚、擴展、減小等。
偏光器110可組態以針對旋轉偏光器橢圓偏光量測(RPE)旋轉或針對其他類型之橢圓偏光量測經固定。照明光學器件亦可包含在呈一波片(或替代地一光彈調變器、聲光調變器、液晶調變器或其他偏光靈敏相位調變 裝置)形式之照明路徑中之一照明補償器112。照明補償器112可(例如)針對一旋轉補償器橢圓偏光量測(RCE)模式可組態為固定或旋轉。旋轉此照明路徑之補償器112及/或旋轉偏光器110容許變動照明光束之偏光狀態。此等偏光狀態可包含S及P偏光狀態以及更多一般偏光狀態。可選擇偏光狀態以執行基於穆勒矩陣之橢圓偏光量測,如本文中進一步闡述。
偏光器110及補償器112可經設計以適合於在自VUV至NIR之範圍中之寬頻光。舉例而言,適合偏光器包含氟化鎂若雄稜鏡且適合波片包含氟化鎂及石英波片以及取決於波長範圍之其他材料。
照明光學器件亦可包含用於離散AOI或AZ選擇之固定或可移動光圈及/或快門。在所繪示之實例中,鏡116及115經組態以將照明光束聚焦於樣品132上,且光圈114界定聚焦於樣品132上之一或多個AOI及/或AZ範圍。舉例而言,一非反射材料可經圖案化於一鏡上以提供其中不存在非反射材料之特定反射類型之光圈。
在此經繪示之實施例中,光圈元件114含有用於將空間離散之AOI或AZ組提供至樣品上之一組固定光圈。替代地,光圈元件114亦可包含用於各個光圈之一快門使得各組離散AOI或AZ可經獨立選擇以照明樣品。在另一實施例中,光圈元件114可包含用於選擇不同空間離散AOI或AZ範圍之一或多個可移動光圈。若干可組態光圈進一步闡述於KLA-Tencor Corp.於2013年3月1日申請之PCT國際申請案第PCT/US2013/028650號中,該申請案以全文引用之方式併入本文中。
在另一實施方案中,光圈裝置亦可呈經配置使得照明光穿過由一不透明材料或使用一不透明材料經圖案化之一透射類型材料形成之孔洞之一傳輸光圈元件之形式。可接著(例如)藉由一透射類型聚焦元件將照明光束 之光線以分散AOI及AZ聚焦於晶圓上。然而,一透射類型光圈元件可不適合於VUV至UV。
在任一光圈實例中,光圈元件經配置於光瞳平面處或附近且經組態以透射或反射此光瞳平面之特定空間部分處之照明光線以導致經選擇離散之AOI或AZ範圍。換言之,度量衡系統可同時(例如,無快門或可移動光圈)或一次一個(例如,經由快門或可移動光圈)地提供對照明光束之AOI及AZ範圍之離散選擇。舉例而言,各具有約等於或小於8°之一AOI範圍(在組之間具有至少約0.1°之分離)之離散AOI組,其中全部組一起涵蓋約50°與80°之間之一範圍。在一項實施例中,可選擇AOI範圍中之至少一者包含大於約60°之一AOI。同樣,AZ之離散範圍可各具有約等於或小於20°之一AZ範圍(在組之間具有至少約0.1°之分離),其中所有組涵蓋介於0°與360°之間的一範圍。
收集光學器件104可組態以自離散AOI及AZ處之樣品132收集光。即,收集光學器件104經定大小以便自樣品132收集具有複數個不同AOI及AZ之偵測光。在所繪示之實施例中,鏡117及118收集自樣品132反射之照明光束且將光束引導朝向偵測器124。光圈元件126經組態以選擇不同AOI及AZ。舉例而言,三個不同收集光圈中之一者可用於一次一個地選擇定中心於三個不同AOI之三個不同AOI組中之一者。
光學元件可接著經配置以分析由樣品132反射之光之偏光狀態。舉例而言,一第二補償器120及分析器122可經旋轉或固定至不同組態以收集不同偏光狀態。第二補償器可呈一波片(或替代地一光彈調變器、聲光調變器、液晶調變器或其他偏光靈敏相位調變裝置)之形式。
在一旋轉偏光器橢圓偏光量測(RPE)模式中,僅偏光器旋轉,而其他可旋轉橢圓偏光量測組件(諸如照明路徑中之一照明補償器、一分析器及收集路徑中之一收集補償器)保持固定。其他模式可包含RPRC(旋轉偏光器、旋轉照明補償器或旋轉收集補償器及一固定分析器)模式及RCRC(固定偏光器、旋轉照明補償器、旋轉收集補償器及固定分析器)模式。其他模式可包含RCE(固定偏光器、旋轉照明補償器及固定分析器)、RCRC(固定偏光器、旋轉照明及收集補償器及固定分析器)或一固定偏光器及旋轉分析器組合。該系統可包含一照明或收集補償器或該系統可不包括兩個補償器。
本文中闡述之任何系統實施例可針對穆勒橢圓偏光量測組態,其中藉由一4乘4矩陣闡述樣品,其中矩陣中之元件之各者係一組光譜。偏光器110、分析器122、第一補償器112、第二補償器120及樣品132之任何組合可在一量測期間旋轉。各個偏光產生或分析光學元件亦可以可選擇角頻率旋轉。不同組態產生不同數目之諧波光譜,其中某些組態產生足夠數目之諧波光譜以完全判定目標之穆勒矩陣。用於執行穆勒矩陣橢圓偏光量測之多種技術進一步闡述於Shankar Krishnan於2013年5月21日發佈之標題為「Reconfigurable Spectroscopic Ellipsometer」之美國專利第8,446,584號中,該專利以全文引用之方式併入本文中。
定位於偏光器110與分析器122之間之光學元件可係用於將照明光反射朝向樣品且自樣品收集輸出光之反射元件。一光譜橢圓偏光量測工具中之反射光學元件之若干不同配置進一步闡述於Piwonka-Corle等人於1997年3月4日發佈之美國專利5,608,526中,該專利以引用之方式併入本文中,目的係提供多種光譜橢圓偏光量測特徵之進一步實施例,諸如光源、 用於將一照明光束反射聚焦於一樣品上之光學組件、自動聚焦組件、偏光器/補償器/分析器組合物及配置、用於產生且偵測一參考照明光束之參考通道組件、控制及處理器機構、分光計/偵測器配置、分光光度計系統組件等,其可與本文中闡述之系統實施例一起利用。
經收集光可接著由偵測器124接收。在一項實施例中,偵測器係針對一廣波長範圍具有足夠高量子效率之一分光計。偵測器模組可包含一分光計光隙(slit)、用於反射輸出光束穿過經組態以在不同方向中折射不同波長以便沿著一偵測器或感測器之不同線性部分落下之一稜鏡之一或多個反射鏡。亦預期其他偵測器模組配置。在特定實施例中,偵測器可包括以下UV增強組件中之一或多者:在約190nm至約900nm之波長範圍內具有足夠高量子效率之一電荷耦合裝置(CCD)偵測器、在約700nm至約2000nm之一波長範圍內具有足夠高量子效率之一光電二極體陣列、在約150nm至約400nm之波長範圍內具有足夠高量子效率之一光電二極體陣列。適合偵測器包含電荷耦合裝置(CCD)、CCD陣列、時間延遲積分(TDI)感測器、TDI感測器陣列、光電倍增管(PMT)及其他感測器。
系統100亦可包含一控制器130,其包括軟體及硬體之任何適合組合且通常經組態以控制度量衡系統100之多種組件。舉例而言,控制器可控制光源106之選擇性啟動、照明偏光器及補償器設定、偵測補償器及分析器設定、照明光圈/快門設定等。控制器130亦可接收由偵測器124產生之信號或影像資料且經組態以分析所得信號或影像以藉由判定樣品參數而表徵化目標或樣品或判定樣品上是否存在缺陷或表徵化存在於樣品上之缺陷。
系統100亦可包含用於多種可移動組件(諸如樣品載台、固定光圈/遮罩、快門、偏光器、分析器、補償器等)之旋轉、傾斜及/或平移移動至不同位置之一定位機構131。藉由實例,定位機構131可包含一或多個馬達機構,諸如一螺旋驅動及步進馬達、具有回饋位置之線性驅動、帶制動器及步進馬達等。
系統100以及本文中闡述之任何系統亦較佳包含用於用氮氣或適用於在真空UV中作用之任何其他氣體填充一真空室之一沖洗系統。對於150nm操作,(例如)整個光學路徑封圍有一室且此室填充有干氮氣。實例性沖洗系統及技術進一步闡述於(i)Nikoonahad等人於2003年11月19日申請之美國專利申請案(具有公開案)第2004/0150820號及(ii)2008年1月24日申請之美國專利第7,755,764號,為了此等特徵將該申請案及該專利以引用之方式併入本文中。
本文中闡述之各個控制器可經組態(例如,用程式化指令)以提供用於顯示所得測試影像及其他度量衡特性之一使用者介面(例如,於一電腦螢幕上)。控制器亦可包含用於提供使用者配方輸入(諸如選擇入射光或經收集光之波長範圍、AOI/AZ及偏光狀態以及偵測參數)之一或多個輸入裝置(例如,一鍵盤、滑鼠、操縱桿)。控制器通常具有經由適合匯流排或其他通信機構耦合至輸入/輸出埠及一或多個記憶體之一或多個處理器。
由於此資訊及程式指令可實施於一經特別組態之電腦系統上,因此此一系統包含用於執行本文中闡述之多種操作之可儲存於一電腦可讀媒體中之程式指令/電腦碼。電腦可讀媒體之實例包含(但不限於)磁性媒體,諸如硬碟、軟碟及磁帶;光學媒體,諸如CD-ROM碟;磁光媒體,諸如光碟;及經特別組態以儲存且執行程式指令之硬體裝置,諸如唯讀記憶體裝 置(ROM)及隨機存取記憶體(RAM)。程式指令之實例包含機器碼(諸如藉由一編譯器產生之機器碼)及含有可使用一解譯器由電腦執行之較高位準碼之檔案。
應注意,不應將上文之圖式及闡述理解為對系統之特定組件之一限制且系統可以許多其他形式體現。舉例而言,預期度量衡工具可包含經配置以判定樣品上之目標特徵及性質之任何數目及類型之適合組件。藉由實例,一度量衡工具可包含用於VUV至NIR、光譜橢圓偏光量測、反射量測或散射量測之一或多個組件。
在另一實例性SE工具實施例中,將同時波長及AOI或AZ解析度提供至工具之偵測器系統。圖2係根據本發明之一第二實施例之用於對多重AOI之同時偵測之一可組態SE工具200之一圖解表示。在此實例中,處於離開樣品132不同AOI或AZ之光係藉由收集光學器件204收集且發送至一偵測器模組224。在所繪示之實施例中,收集光學器件204含有用於將經收集光聚焦於分散光學器件及偵測器模組224上之收集鏡226及118。在一替代實施例中,經偵測光未經空間解析至離散AOI或AZ。
用於映射波長及AOI/AZ至一平面中之兩個不同方向(諸如正交x及y方向)上之任何適合收集側機構可併入至SE工具中。一般而言,系統200可包含用於跨兩個不同方向映射波長及AOI(或AZ)於一2D偵測器上之在兩個不同方向(諸如x及y方向)中具有不同光學功率(例如,圓筒形功率、環形功率等)之收集光學器件。如所示,分散光學器件及偵測器模組224提供具有對於AOI/AZ之一軸及對於波長之另一軸之一2D分光計功能(如227所擴展及展示)。分散光學器件可經組態以在波長方向中具有導致偵測器處於共軛於視場光闌之一平面處或附近之功率且在AOI方向中具有功率以 使偵測器平面處於共軛於光瞳平面處或附近。替代地,在此實例中AZ可取代AOI。
在所繪示之實例中,分散光學器件及偵測器模組224包含用於在分光計入口處接收經偵測光束且調節欲由一偵測器接收之光束之一分散元件,該偵測器將隨波長而變之光映射至一第一軸(例如,在一X方向中)且將隨AOI/AZ而變之經偵測之光映射至一第二軸(例如,在一Y方向)。即,分散元件分散經偵測光之波長分量至一第一偵測器軸上且分散經偵測光之AOI/AZ分量至(例如)正交於第一軸之一第二偵測器軸上。在一特定實施方案中,分散元件包含經組態以將一點焦點轉變成一線之在長度上與數值孔徑(NA)成比例之一圓筒及分散光至一光譜之一分散元件。NA與經收集AOI/AZ相關。
偵測器可包含用於偵測分散於兩個方向中之光之任何適合偵測機構,諸如用於感測處於變動波長、AOI及AZ範圍之光之一CCD,如本文中所闡述。偵測器可包含用於來自經選擇像素之資料之任何適合數目之移位暫存器。舉例而言,偵測器可包含用於並行處理依據兩個不同AOI自樣品發射之光之兩個移位暫存器。在另一實例中,偵測器具有用於並行處理依據兩個以上不同AOI自樣品發射之光之兩個以上移位暫存器。在又一實例中,為了一較快讀取,偵測器針對AOI方向具有每個移位暫存器具有少至一列像素。
系統200亦可包含經組態以控制系統200之組件中之任何者之控制器230。舉例而言,控制器230經組態以選擇一或多個光源106之波長、角頻率及/或方位角及偏光器110之時序、照明補償器112、分析器122及收集補償器120等。控制器230亦可接收由偵測器產生之信號或影像且經組態以 分析所得信號或影像以藉由判定樣品參數而表徵化樣品或判定樣品上是否存在缺陷或表徵化存在於樣品上之缺陷。系統200亦可包含用於多種可移動組件(諸如一樣品載台、固定光圈/遮罩、快門、偏光器、分析器、補償器等)之旋轉、傾斜及/或平移移動至不同位置之定位機構231。
在某些實施例中,經偵測光可經轉換至對應於不同AOI、AZ及波長之數位資料且此資料可依據AOI、AZ及波長(以及偏光狀態)而獨立分析。可劃分對應於經偵測光之資料以便對應於對應於不同AOI/AZ及/或波長之偵測器之單獨區域,且此經分離資料可接著依據AOI、AZ及波長(以及偏光狀態)而分析。某些實施例藉由同時擷取且處理不同AOI之光信號而容許經改良之量測輸送量。一經增加照明NA將藉由降低樣品之目標區域上之繞射限制光點大小而容許減小目標大小。替代地,對於尺寸未經減小之一目標,此經增加之NA增加來自目標區域內之經偵測光對來自周圍區域之經偵測光之比率,減小信號污染。
圖3A係根據本發明之一特定實施方案之用於在多個偵測器處同時收集多重AOI區域之一SE工具300之一簡化圖解實例。如所示,工具300包含用於提供處於複數個波長之光之光源106及用於提供對於引導於樣品132處之照明光束之不同偏光狀態之橢圓偏光計照明光學器件302。橢圓偏光計照明光學器件302亦可經組態以引導處於多重AOI之照明光束且亦可經組態以引導處於多重AZ之光。工具300亦可包含用於收集處於多重AOI(及AZ)及多個偏光狀態之光之橢圓偏光計收集光學器件304。光源106、橢圓偏光計照明光學器件302及橢圓偏光計收集光學器件304可對應於本文中闡述之多種照明及收集組件中之任何者。
工具300之收集側亦可包含用於根據波長及AOI在兩個正交方向中分散經收集光之波長及AOI分散光學器件306。如所示,將平面1(308)處之分散結果309繪示為在一第一垂直軸上包括三個不同AOI區域1、2及3及在一第二水平軸上包括跨越所有AOI區域之波長分散。當然,可藉由分散光學器件306界定任何適合數目個AOI區域。分散光學器件306可經組態以具有對於AOI(及/或AZ)之不同光學功率(例如,圓筒形功率、環形功率等)及兩個不同方向中之波長分散,如本文中所闡述。
工具300亦可包含用於藉由分散光學器件306將以AOI(或AZ)分散之光束劃分成不同AOI區域之AOI子劃分光學器件310。AOI子劃分光學器件亦可經組態以將各個AOI區域引導至解析在一AOI(或AZ)範圍上方整合之波長之一個別偵測器(例如,314a、314b、314c)上。若AOI(或AZ)及波長解析度之平面在空間中未足夠經分離,則可將重成像光學器件312放置於此等平面之間以重成像波長解析平面於各個偵測器上。各個偵測器可經組態以在190nm至約900nm之一波長範圍內進行偵測,且全部偵測器可經組態以同時經讀取。光學器件306、310及312及偵測器314亦可經組態以收集及/或偵測在150nm至2000nm範圍中之波長。舉例而言,矽基偵測器可用於小於約1000nm之波長,而銦鎵砷基偵測器可用於大於約800nm之波長。此SE實施例容許同時擷取及處理來自不同AOI/AZ之光信號。
系統300亦可包含經組態以控制多種組件且分析經偵測資料之一控制器330。控制器330可(例如)類似於圖2之控制器230。另外,控制器330可經組態以控制多個偵測器且分析藉由此等偵測器獲得之影像及信號。系統300亦可包含用於任何可移動組件之平移、旋轉或傾斜移動之類似於圖2之定位機構具有多個偵測器之額外選擇性定位之一定位機構331。
一替代多重AOI系統可包括針對在一單一偵測器處之經選擇AOI之光圈。圖3B係根據本發明之另一特定實施方案之具有用於自多重AOI之間選擇一AOI範圍之一可組態AOI遮罩351之一SE工具350之一簡化圖解第二實例。如所示,波長及AOI(及/或AZ)分散光學器件348仍經組態以將AOI(或AZ)及波長分散至兩個不同方向(例如,正交),但波長分散於定位於AOI/AZ分散之平面之前之一平面處。即,圖3B之AOI分散光學器件348可類似於相對於圖3A闡述之AOI分散光學器件操作,但不在與AOI/AZ分散之一相同平面中分散波長。
一AOI遮罩351可定位於平面處,AOI在該平面上由分散光學器件348分散,且此AOI遮罩可組態以選擇性透射不同AOI區域至偵測器352。舉例而言,AOI遮罩351提供用於在一時間自AOI/AZ區域上之樣品選擇AOI/AZ區域之一機構。視圖354展示AOI區域選擇之一實例。在此視圖354中,AOI區域2穿過AOI遮罩351之一光圈經選擇性透射至偵測器352,而AOI區域1及3由對光透射不透明之AOI遮罩351之遮罩部分阻擋。
AOI遮罩351可呈用於選擇一特定AOI區域之任何適合形式。舉例而言,AOI遮罩351(或光圈)可包含各包含一快門以選擇一不同AOI區域之複數個固定光圈或可包含一單一可移動光圈(如所展示)。在多個固定光圈實例中,各個光圈經空間分離以便解析樣品之不同AOI區域,如本文中進一步闡述。
偵測器352(及314)呈沿著至少一方向(針對波長)偵測之任何適合形式,諸如上文中所述之2D偵測器,或一1D線性光電二極體陣列。偵測器352(及314)較佳定位於波長解析平面中。偵測器352可包含藉由光敏區域之寬度或藉由整合至偵測器裝置中之一遮罩界定之遮罩351。在此情況 中,分散光學器件348在與AOI/AZ分散之一相同平面中分散波長。亦在此情況中,偵測器352將類似於遮罩351經移動以用於AOI區域選擇。
系統350亦可包含一控制器360及定位機構361,且經組態具有類似於本文中闡述之控制器及/或定位機構中之任何者之功能,其中添加控制或移動AOI遮罩351及/或偵測器352。
相較於其中光瞳及視場光闌針對各個AOI量測改變之系統,一次一個將多重AOI照明提供至樣品及AOI區域之選擇性收集上或單獨偵測器上而不移動照明及收集光學路徑之一SE度量衡工具(諸如圖1、圖2及圖3A之系統)可由於固定照明與收集光瞳及固定照明與收集視場光闌而提供更好量測可重複性及穩定性。具有一可移動視場光闌之系統實施例(諸如圖3B之系統)可提供偵測器附近之光圈之移動之一較小距離以達成相較於具有收集鏡附近之移動光圈之系統之較快輸送量及相較於不具有移動光圈之一系統之不穩定性之一相關聯成本。具有固定成像路徑光學器件之全部實施例具有優於藉由移動成像光學器件達成AOI解析度之系統之一輸送量優勢。
在另一實例中,一單一2D偵測器亦可用於同時收集多重AOI區域。圖3C繪示根據一替代實施例之一單一偵測器370上之多重AOI/AZ區域之同時收集。在此實例中,偵測器被分成對應於可同時經偵測且接著經個別分析之空間分離AOI區域之複數個AOI區域,例如372a、372b及372c。偵測器AOI區域可藉由對應於光學非作用中像素或未經分析之信號部分之光學非作用中區域(例如,374a及374b)空間分離。
在分散實施例中之任何者中,AOI及AZ分散兩者可藉由使用一光束分裂器而同時發生以發送光束至兩個2D偵測器,一者經組態用於波長及AOI分散且另一者經組態用於波長及AZ分散。圖3D係根據一項實施例之 用於同時收集經分散AOI及AZ之收集側光學器件380之一圖解表示。如所示,橢圓偏光計收集光學器件382可自樣品在多重AOI及AZ處收集光且將此輸出引導至分離器384。分離器將輸出光引導至波長及AOI分散光學器件386及波長及AZ光學器件380。
AOI分散光學器件386經組態以在相同或一不同平面中沿著兩個方向分散波長及AOI。經分散之波長及AOI由可經組態以同時或相繼偵測各具有如上文所述之經分散波長之空間分離之AOI區域之波長及AOI偵測器模組388接收。類似地,經分離波長及AZ可由經組態以同時或相繼偵測各具有經分離波長之空間分離之AZ區域之一波長及AZ偵測器模組390接收。
圖4A係根據本發明之另一實施例之具有一單一或複數個切趾器409及/或405之可組態SE工具400之一圖解表示。在一項配置中,一單一非移動切趾器409放置於經組態以針對全部經選擇AOI(或AZ)而整形照明光束且控制樣品132處之焦點之點擴散函數之照明光瞳處或附近。在另一配置中,一或多個可移動切趾器409可(例如在方向410)移動至照明光瞳中或自其移動出且通常經組態以針對不同經選擇AOI(或AZ)整形照明光束且控制樣品132處之焦點之點擴散函數。舉例而言,各個經選擇切趾器可經組態以針對一特定AOI(或AZ)組而控制光點大小。在一特定實例中,各個切趾器經組態以針對一特定AOI塑形照明光使得對於自光點之中心遠於25微米之一光點位置之輻照度位準小於在光點之中心處之峰值輻照度之10-3。舉例而言,各個經選擇之切趾器可經組態以抑制旁波瓣且改良樣品晶圓平面中之量測框大小以減小在特定經選擇AOI(或AZ)處之經量測信號中之污染。
在另一實施例中,一或多個收集切趾器405可定位於或可移動至在共軛於一收集光瞳之一平面處或附近之一位置。此等收集側切趾器可提供對應於全部離散範圍之AOI及/或AZ之預定義收集分佈。一收集側切趾器可控制來自硬邊收集光圈之繞射側波瓣。舉例而言,此收集切趾器可經組態而以與照明切趾器整形晶圓樣品處之強度分佈類似之一方式整形分光計光隙上入射之強度分佈。此收集切趾器亦可經組態以減小來自量測框外之污染且提供對在分光計處之光點之精細控制。
在所繪示之實例中,不同收集光圈用於不同AOI收集使得光隙處之光點將針對不同AOI而不同,而光隙針對各個AOI範圍相同。在此配置中,經組態用於經使用之特定AOI之一收集切趾器可有助於針對特定AOI調整光點。此收集切趾器較佳放置於收集光圈之平面處或附近,但可想到切趾器亦可放置於下游。在一特定實施方案中,切趾器之數目對應於收集光圈之數目,且一經選擇切趾器可移動至(例如)方向411中之收集路徑中。替代地,一動態可組態單一切趾器可用於且定位於收集路徑中。
通常可將切趾定義為更改一光學系統之入口光瞳中之光分佈(例如,使用一遮罩來更改照明光束之振幅及/或相位)藉此改變照明光束之強度分佈。在當前情況中,各個切趾器可經組態以將照明光點之「尾部」(例如,距照明光點之中心大於25微米之照明光點之部分)中之輻照度減小至小於峰值輻照度之10-3,藉此減小信號污染。在本文中闡述之度量衡系統中之任何者中包含此一切趾器係可實現使用相對小目標上之相對小光點大小之度量衡之特徵中之一者。
透射類型切趾器(諸如熔融矽石)可適合於下降至約170nm之波長。一般而言,可使用針對193nm最佳化之標準微影主光罩/遮罩空白製造一切趾器。亦預期反射切趾器。
一般而言,各個切趾器設計可經裁適於各個特定組之可選擇照明側AOI(或AZ)且可移動至照明光束路徑以便控制對於各個特定組之AOI(或AZ)之一光點大小。即,此等切趾器實施例可各自擁有不可重新組態之一光學功能。另外,該組切趾器409可包含亦經組態用於在測試下之特定目標之切趾器。舉例而言,甚至使用相同AOI可達成不同照明振幅分佈。除圖4A之系統之外,本文中闡述之系統實施例中之任何者亦可包含可組態切趾器。
圖4A之系統400亦可包含用於透過不同AOI將一照明光束掃描至樣品132上之一掃描鏡配置,諸如掃描鏡407。掃描鏡較佳在共軛於樣品132之一平面內或其附近。掃描鏡407可取代偏光器光隙或可共軛於偏光器光隙。若掃描鏡取代偏光器光隙,則其可含有一遮罩以界定照明視場光闌。若掃描鏡共軛於偏光器光隙,則額外成像光學器件可呈現於偏光器光隙與掃描鏡之間。掃描鏡407可取代一可移動、固定光圈(例如,如上文所述)以選擇性掃描不同AOI(或AZ)處之照明光束。掃描鏡407可藉由任何適合定位機構可組態移動(例如,平移、傾斜或旋轉)以便一次一個地選擇一特定AOI(或AZ)。在經繪示之實例中,掃描鏡407在方向408中傾斜使得透過一特定AOI(或AZ)範圍掃描照明光束。即,掃描鏡407導致照明沿著光瞳平面中之不同AOI位置移動而不移動樣品132處之照明光點。
掃描鏡407係反射性以便適合於一廣範圍之波長。一反射掃描鏡407容許照明光束具有一廣範圍之波長,包含需要反射光學元件之VUV光。
一固定鏡406可用於引導自掃描鏡407反射之經掃描照明光束。替代地,多個鏡可用於將不同AOI引導朝向樣品132。
照明光學器件402可經組態以將處於不同AOI(或AZ)之照明光最佳引導於樣品132上。舉例而言,鏡414及416將來自一特定組之AOI(或AZ)之照明光束引導且聚焦至樣品132上。在一項實例中,鏡414及416經定大小以將自50°至80°之全部光引導至樣品132上。
類似於其他實施例,固定或可移動光圈126及/或快門之一配置可用於選擇性地收集處於不同AOI(或AZ)之光。光圈/快門126可組態以視需要一次一個地選擇一AOI。
照明光學器件402及收集光學器件404可包含用於產生及/或收集不同偏光狀態之組件(例如,偏光器110、補償器112及120及分析器122)。
控制器430及/或定位機構461可經組態以控制系統400之任何組件。舉例而言,控制器430及/或定位機構461可經組態以選擇一或多個光源106之波長,掃描鏡407於方向408之傾斜位置,偏光器110、照明補償器112、收集補償器120及分析器122之角頻率及/或方位角及時序,各個切趾器409之位置、對於照明及/或收集快門之設定及可移動光圈之位置等。
在本文中闡述之多數實施例中,一振幅切趾器可用於照明路徑中以抑制旁波瓣且改良晶圓平面中之量測框大小且減小經量測信號中之污染。雖然一單一可組態切趾器或一組可移動切趾器可提供對於一特定AOI(或AZ)組之適合振幅切趾,但此一切趾器系統可不容易更改以改變切趾器型樣。另外,此配置可與慢切換及硬體可重複性問題相關聯。在一替代切趾實施例中,一動態可組態空間光調變器(SLM)可用於動態形成如所需之一 切趾器型樣。可非常快速切換(例如)基於MEMS SLM技術之一可變動切趾器而不影響系統之對準。
圖4B係根據本發明之一替代實施例之具有動態可調整切趾器之可組態SE工具450之一圖解表示。此系統包含照明路徑中之一反射動態可組態之切趾器456,在該照明路徑上針對照明及收集光學器件之各個特定經選擇AOI、AZ及NA動態形成一切趾型樣。此切趾器456係較佳反射性以便適合於包含VUV至UV之一寬頻範圍。如所示,切趾器456可經配置以經由照明光隙458自旋轉補償器454接收照明光452。
如上文所述,多種照明機構可用於選擇特定AOI(及AZ)且多種收集機構可用於收集特定AOI(及AZ)。切趾器456經組態以基於照明及收集光學器件之經選擇AOI(及AZ)動態地調整照明光之振幅及/或相位。在某些實施例中,照明光束可穿過一掃描鏡及/或一或多個固定或可移動光圈及/或快門,其經組態以在到達切趾器456之前選擇一或多個空間分離AOI(AZ)。替代地,切趾器456經定位於此等AOI(或AZ)選擇機構之前。切趾器456較佳經放置於一光瞳平面處或附近。替代地,切趾器456可位於收集光瞳處或附近。替代地,切趾器456可位於照明及收集光瞳兩者處或附近。一收集側切趾器組態可控制(例如)偵測器光隙處之光點形狀。此類型之切趾可減小藉由偵測器接收之框外污染且亦可具有偵測器之經改良之解析度(或PSF)。另外或替代地,不同AOI(或AZ)可由固定或可移動光圈及/或快門及類似者收集。
在一特定實施方案中,自可組態以跨切趾器456之區域控制振幅反射率分佈之一空間光調變器(SLM)形成切趾器456。一個適合SLM係一微機電系統(MEMS)SLM。實例性SLM類型裝置包含購自達拉斯之Texas Instruments之DLP(數位光處理)裝置、來自德國慕尼黑之Fraunhofer Institute之TX及SLM裝置。
如在一DLP裝置之情況中,切趾型樣可係其中藉由在若干像素上方整合而獲得有效(持續)反射率型樣之二元振幅型樣。在反射光至照明光學器件之局部區域中之像素之部分給定所要局部切趾位準。可自DLP SLM下游使用一空間濾波光圈以阻擋自照明光學器件反射之光且自DLP SLM之週期性結構濾出繞射。此光圈可併入至聚集光學器件自身之光圈中。
在另一SLM實施方案中,切趾型樣可持續可變動。然而,可藉由編碼由SLM產生之一相位分佈之型樣而達成一持續可變動振幅分佈。為了獲得所得所要振幅型樣,必須使用可併入至系統之聚焦光學器件中之一光圈來傅立葉濾波光。
系統450亦可包含類似於上文所述之控制器及/或定位機構之任何者之其中添加控制切趾器456之一控制器及/或定位機構(未展示)。
在本文所述之某些實施例中,固定或可移動光圈可用於選擇在經引導朝向樣品之照明光束或自樣品收集之經收集光束中之特定AOI(或AZ)。圖5A係根據一項實施方案之一實例性光圈系統500之一圖解側視圖。如所示,光圈系統500可包含在其上形成一遮罩之一反射基板502。自吸收或非反射區域(例如,504a、504b及504c)形成具有形成於其中之孔洞/通孔(例如,506a、506b及506c)之遮罩。實例性吸收或非反射材料可包含金屬片或箔材料(諸如不鏽鋼或鋁)及黑色陽極材料,此等孔洞可填充有透明材料或保持未填充。快門(例如,508a、508b及508c)可放置或附接於各個遮罩光圈(例如,506a、506b或506c)上方。整個光圈系統500亦可(例如)在 方向514中移動以便在照明或收集路徑中定位光圈,如本文中進一步闡述。
快門可開啟或關閉以便容許在特定AOI(或AZ)處反射入射光。如所示,快門508b關閉以阻擋光線510b,而快門508a及508c開啟以便容許分別自經反射基板502將光線510a反射為處於一第一經選擇AOI(或AZ)之光線512a且將光線510c反射為處於一第二經選擇AOI(或AZ)之光線512c。
圖5B係根據第二實施方案之一實例性光圈系統550之一圖解側視圖。如所示,光圈系統550可包含一反射基板552。此光圈系統550不包含快門且可沿著方向564移動以定位形成於吸收或非反射遮罩材料(例如,556a、556b及556c)中之固定光圈(例如,554a、554b及554c)。光圈可定位於照明或收集路徑中特定AOI(或AZ)位置處。如所示,光線560a經反射為處於一第一經選擇AOI之一光線562a;光線560b經反射為處於一第二經選擇AOI之一光線562b;且光線560c經反射為處於一第三經選擇AOI之一光線562c。
系統實施例之任何者可包含用於選擇性施覆一光圈至複數個光瞳位置之各者以便選擇特定組之AOI(或AZ)之一傳輸照明選擇器,如本文中所述。然而,此照明選擇器可僅適用於可透射而非反射之波長。一般而言,照明選擇器經組態以容許一光線束個別穿過光瞳之各個位置且導致個別範圍之AOI/AZ。圖6A係根據本發明之一項實施例之一照明選擇器之一圖解透視圖。在此實例中,照明選擇器包括三個光圈盤602、604及606。各個光圈盤包含複數個不同光圈組態(例如,對於盤602之光圈組態608a及608b、對於盤604之光圈組態610a及對於盤606之光圈組態612a、612b及612c)。可針對各個盤選擇用於接收入射光(或光線束)614之一特定光圈組 態且接著可疊加來自三個盤之三個經選擇光圈組態以導致不同數目之光圈設定及所得照明光瞳輪廓。
一般而言,各個盤之各個光圈組態包含至少一個透明部分且亦可包含一或多個不透明區域。舉例而言,透明部分可由任何適合透明材料(諸如玻璃、石英、熔融矽石等)形成,或各個透明區域可僅無材料使得光穿過光圈組態之各個透明部分。相反地,各個不透明部分阻擋光瞳平面處之入射光束之對應空間部分且各個不透明部分通常由一不透明材料形成,諸如鉻、矽化鉬(MoSi)、矽化鉭、矽化鎢、玻璃上不透明MoSi(OMOG)等。亦可在不透明層與透明基板之間添加一多晶矽膜以改良粘著性。可在不透明材料上方形成一低反射膜,諸如氧化鉬(MoO2)、氧化鎢(WO2)、氧化鈦(TiO2)或氧化鉻(CrO2)。各個光圈之透明部分之形狀可係任何適合形狀,諸如矩形、圓形、橢圓形、lhcscreen(一圓形及矩形之疊置)、marguerite(兩個大型強子對撞機螢幕,一者旋轉90°),rectellipse(一橢圓形及矩形之疊置)、環形軌道等。
一般而言,一光圈組態產生一特定入射光束分佈或AOI及AZ組。在一特定實例中,可實施源遮罩最佳化(SMO)或任何像素化照明技術。在所繪示之實施例中,各個光圈組態涵蓋整個照明光瞳區域且位於光學軸之中心上。然而,一光圈組態可替代地放置於光瞳區域之一部分中或沿著入射光束之光學路徑之某些其他點(非光瞳平面)處。
圖6B繪示組合三個光圈組態以達成一光圈組態之一第二實例。為了簡化誇大了透射部分之大小。在此實例中,第一光圈組態610a在整個光瞳區域上方完全透明。第二光圈組態608b具有由不透明部分654及656圍繞之一透明垂直透明帶652。第三光圈組態612b具有由不透明部分624及620 圍繞之一水平透明帶622。所得光圈組態630具有由可經組態以選擇一特定AOI組之不透明部分632圍繞之一方形透明部分634。
圖7A係根據本發明之另一實施例之具有離軸抛物面(OAP)鏡之可組態度量衡工具700之一圖解表示。此系統700包含與一可移動平移鏡712結合使用以選擇多重AOI(例如,來自自反射鏡722a接收之照明光束)以(例如)自位置712a與712b移動之照明側702中之一離軸抛物面(OAP)鏡710a。一般而言,可移動照明鏡712可(例如)沿著方向(例如714a)位移以導致照明光束自照明OAP鏡710a反射以便基於自其反射照明光束之OAP鏡之曲線上之位置達成一特定AOI組。在所繪示之實施例中,展示兩個不同照明平移鏡位置712a及712b以在樣品132上一次一個地相繼達成兩個不同空間分離AOI,雖然更多鏡位置(經組態以導致照明光束自OAP鏡曲線之不同區域反射)可用於達成更多AOI。
系統700之收集光學器件704可包含經配置以自樣品132收集處於經選擇AOI之輸出光束之對應收集OAP鏡710b及可組態以移動至方向714b中之複數個位置(例如,713a及713b)(例如)以自收集OAP鏡710b一次一個地接收處於經選擇AOI之此等輸出光束之對應收集平移鏡713。收集光學器件704亦可包含用於將輸出光束(例如,準直光束716)引導至偵測器124之任何適合光學元件(例如,凸面鏡720及反射鏡722b)。
在另一態樣中,平移鏡(712及713)及OAP鏡(710a及710b)可經組態以支援一大範圍之AOI(高達接近掠入射)。即,平移及OAP鏡可經組態以在一持續範圍上選擇AOI。
控制器730及/或定位機構761可經組態以控制系統700之組件中之任何者。舉例而言,控制器730及/或定位機構761可經組態以選擇一或多個 光源106之波長,偏光器110、照明補償器112、分析器122及收集補償器120之角頻率及/或方位角及時序,各個平移鏡712及713之平移移動、OAP鏡之旋轉等。
在另一實施例中,圖7B繪示根據本發明之一替代實施方案之具有離軸抛物面(OAP)鏡之一雙通度量衡工具750。此系統750包含與一可移動平移鏡762結合使用以選擇多重AOI(例如,來自自光束分裂器752接收之照明光束)以(例如)自位置762a及762b移動之一離軸抛物面(OAP)鏡760。一般而言,可移動照明鏡762可(例如)沿著方向(例如764)位移以導致照明光束自照明OAP鏡760反射以便基於自其反射照明光束之OAP鏡之曲線上之位置達成一特定AOI組。此移動將導致自樣品132發出之輸出光束改變其在一球面鏡754上之位置但在該輸出光束此球形表面754上反射之後返回至取樣點。回應於來自球面鏡754之此經返回光束及樣品之經掃描目標特性自樣品132發散一第二輸出光束。第二輸出光束可接著由OAP鏡760、位置762a或位置762b處之平移鏡及光束分裂器752收集且引導至一偵測器(未展示)。
在圖7B展示之另一實施例中,與一控制器780結合之定位機構791可經組態以提供翻倒/傾斜樣品132或需要在量測點756周圍測試之表面。舉例而言,可藉由經由定位機構791翻倒/傾斜樣品而實現對AOI及AZ之一持續或相繼掃描。此移動將導致自樣品132發出之輸出光束改變其在一球面鏡754上之位置但在該輸出光束在此球形表面754上反射之後返回至取樣點。回應於自球面鏡754之此返回光束及樣品之經掃描目標特性自樣品132發出一第二輸出光束。第二輸出光束可接著藉由OAP鏡760、鏡 762(在此實施例中係固定的)及光束分裂器752收集且引導至一偵測器(未展示)。在此實施例中,平移鏡762保持在一固定位置中而晶圓經傾斜。
本文中闡述之多重AOI及AZ系統實施例亦可經組態用於其中收集側對離開樣品之0級光進行取樣之明視場操作及其中收集臂對離開樣品之非0級光進行取樣之暗視場操作。在一項配置中,一組空間分離照明光圈及另一組空間分離收集光圈經配置以在明視場與暗視場操作之間選擇。在明視場操作中,收集側對離開樣品之照明光之相同AOI(AZ)進行取樣。在暗視場操作中,收集側對不同於離開樣品之照明光之AOI(AZ)進行取樣。
在此等多重AOI(或AZ)系統之任何者中,照明光學器件可經組態以產生在晶圓上之方位方向中分離之多個照明光束,諸如同時涵蓋0至90度。此系統可具有能夠接收單獨光學光束之一組空間分離偵測器及支援各個光束之多重AOI及多重AZ之照明及收集光學器件。
可用本文中闡述之系統實施任何適合度量衡程序。圖8係根據本發明之一項實施例繪示一SE度量衡程序800之一流程圖。起始,在操作802中可產生處於多個波長(例如,VUV至IR)之照明光。在操作804中可選擇針對此照明光之一或多個偏光狀態。在操作806中亦可選擇針對該照明光之一或多個AOI及/或AZ範圍。在操作808中(例如)亦可整形且引導該照明光以在一晶圓之一目標上形成一小光點。
在操作810中可接著收集回應於該照明光束自該晶圓發出之一輸出光束。在操作812中亦可選擇用於收集該輸出光束之一或多個AOI或AZ。在操作814中亦可選擇一或多個偏光狀態。在操作816中可接著偵測輸出光束且使用其以產生一信號或影像。在操作818中可接著分析經產生之信號或影像以判定晶圓上之目標之一特性。舉例而言,可比較來自針對不同目 標特性及不同照明特性(例如,偏光狀態、波長、AOI及AZ)之一模型之模擬輸出信號/影像與經產生之信號/影像以判定對應目標特性。
可基於一或多個經偵測信號或影像判定之實例性樣品參數包含臨界尺寸(CD)、膜厚度、金屬閘凹陷、高k凹陷、側壁角、階級高度、節距移動、溝及接觸件輪廓、疊加、材料性質(例如,材料組合物、折射率、臨界膜上之應力(包含超薄擴散層、超薄閘氧化物、高階光阻劑、193nmARC層、超薄多層堆疊、CVD層、及高階高k金屬閘(HKMG)、超薄解耦合電漿氮化(DPN)程序層)、非臨界膜上之應力(包含內介電質、光阻劑、底部抗反射塗層、厚氧化物及氮化物以及線層之後端))、半導體製造程序參數(例如,掃描器之焦點及劑量、對於蝕刻工具之蝕刻率)等。
雖然為了理解之清晰之目的,在某些細節中已闡述前述發明,但應瞭解可在隨附申請專利範圍之範疇內實施某些改變及修改。應注意,存在實施本發明之程序、系統及設備之許多替代方式。舉例而言,雖然在本文中將系統實施例闡述為可應用至半導體裝置之度量衡,但預期此系統可用於其他類型之應用,諸如其他類型之樣品之度量衡或缺陷檢查。因此,應將當前實施例考慮為闡釋性而非限制性,且本發明非限於本文中給定之細節。

Claims (35)

  1. 一種用於執行一半導體樣品之度量衡之橢圓偏光計設備,其包括:一照明光學器件模組,其用於提供處於在自一真空紫外線(VUV)波長至一紅外線(IR)波長之一範圍內可選擇之複數個波長之一照明光束且以複數個入射角(AOI)及/或方位角(AZ)將該照明光束引導朝向該樣品,其中該照明光學器件模組包括:一第一離軸抛物面(OAP)鏡,一第一平移鏡(translation mirror),其可移動以在複數個位置處接收該照明光束以將該照明光束引導至該第一OAP鏡上之複數個位置使得該第一OAP鏡以複數個離散AOI及/或AZ範圍一次一個地將該照明光束反射至該樣品;及偏光產生光學元件,其用於產生針對該照明光束之複數個偏光狀態;一收集光學器件模組,其用於收集處於該等離散AOI及/或AZ範圍之自該樣品發出之一輸出光束且將此輸出光束引導至一偵測器模組,其中該等離散範圍係一次一個地收集且該輸出光束係回應於該樣品上之該照明光束,其中該收集光學器件模組包括:一偵測器,一第二OAP鏡一第二平移鏡,其可移動以在複數個位置處接收該輸出光束以將該輸出光束引導至該第二OAP鏡上之複數個位置使得該第二 OAP鏡以該等離散AOI及/或AZ範圍一次一個地將該輸出光束反射至該偵測器,及偏光分析光學元件,其用於分析該輸出光束之偏光狀態;該偵測器模組,其用於接收及偵測處於該等離散AOI及/或AZ範圍及該等偏光狀態之來自該樣品之該輸出光束且基於處於該等離散AOI及/或AZ範圍及該等偏光狀態之該輸出光束產生複數個信號;及一或多個控制器,其各經組態以控制以下操作中之一或多者:選擇一波長範圍;針對該輸出光束之收集選擇該等離散AOI及/或AZ範圍中之一或多者;選擇該等偏光狀態;及分析處於該等離散AOI及/或AZ範圍及該等偏光狀態之該等信號以判定該樣品之一特性,其中該照明光學器件模組及該等收集光學器件模組包括在該等偏光產生光學元件與該等偏光分析光學元件之間之多個反射光學元件。
  2. 一種用於執行一半導體樣品之度量衡之橢圓偏光計設備,其包括:一照明光學器件模組,其用於提供處於在自一真空紫外線(VUV)波長至一紅外線(IR)波長之一範圍內可選擇之複數個波長之一照明光束且以複數個入射角(AOI)及/或方位角(AZ)將該照明光束引導朝向該樣品,其中該照明光學器件模組包括:一光束分裂器;一離軸抛物面(OAP)鏡,一平移鏡,其可移動以經由該光束分裂器在該平移鏡之複數個平移位置處接收該照明光束以將該照明光束引導至該OAP鏡上之 複數個相應位置,使得該OAP鏡以複數個離散AOI及/或AZ範圍一次一個地將該照明光束反射至該樣品,及偏光產生光學元件,其用於產生針對該照明光束之複數個偏光狀態;一收集光學器件模組,其用於收集處於該等離散AOI及/或AZ範圍之自該樣品發出之一輸出光束且將此輸出光束引導至一偵測器模組,其中該等離散範圍係一次一個地收集且該輸出光束係回應於該樣品上之該照明光束,其中該收集光學器件模組包括:該光束分裂器,該OAP鏡,該平移鏡,一球面鏡,其用於將該輸出光束往回反射朝向該樣品以導致一第二輸出光束自該樣品發出以自該OAP鏡上之該等對應位置反射,且接著在該複數個平移位置處自該平移鏡反射朝向該光束分裂器且至該偵測器模組,以便一次一個地收集處於該等離散AOI及/或AZ範圍之該第二輸出光束,及偏光分析光學元件,其用於分析該第二輸出光束之偏光狀態,該偵測器模組,其用於接收且偵測處於該等離散AOI及/或AZ範圍及該等偏光狀態之來自該樣品之該第二輸出光束,且基於處於該等離散AOI及/或AZ範圍及該等偏光狀態之該第二輸出光束以產生複數個信號;及一或多個控制器,其各經組態以控制以下操作中之一或多者:選擇一波長範圍;針對該輸出光束之收集選擇該等離散AOI及/或AZ範 圍中之一或多者;選擇該等偏光狀態;及分析處於該等離散AOI及/或AZ範圍及該等偏光狀態之該等信號以判定該樣品之一特性,其中該照明光學器件模組及該收集光學器件模組包括在該等偏光產生光學元件與該等偏光分析光學元件之間之多個反射光學元件。
  3. 一種用於執行一半導體樣品之度量衡之橢圓偏光計設備,其包括:一照明光學器件模組,其用於提供處於在自一真空紫外線(VUV)波長至一紅外線(IR)波長之一範圍內可選擇之複數個波長之一照明光束且以複數個入射角(AOI)及/或方位角(AZ)將該照明光束引導朝向該樣品;一收集光學器件模組,其用於收集處於實質上所有AOI或AZ之自該樣品發出之一輸出光束且將此輸出光束實質上同時引導至一或多個偵測器上,該輸出光束係回應於該樣品上之該照明光束,其中該照明光學器件模組包含用於產生針對該照明光束之複數個偏光狀態的多個偏光產生光學元件,該收集光學器件模組包含用於分析該輸出光束之該偏光狀態的多個偏光分析光學元件,其中該照明光學器件模組及該收集光學器件模組包含在該等偏光產生光學元件與該等偏光分析光學元件之間之多個反射光學元件;該一或多個偵測器,其用於接收且偵測處於該等AOI及/或AZ及該等偏光狀態之來自該樣品之該輸出光束以基於處於該等AOI及AZ及該等偏光狀態之該輸出光束產生複數個信號或影像;及一或多個控制器,其各經組態以控制以下操作中之一或多者:選擇一波長範圍;選擇該等偏光狀態;及分析處於該等波長、該等AOI 及/或AZ及該等經選擇偏光狀態之該等信號或影像以判定該樣品之一特性。
  4. 如請求項3之設備,其中該收集光學器件模組包含一或多個分散元件,其用於分散位於一波長平面之多個波長及分散位於一AOI/AZ平面之多個AOI及/或AZ,藉此該等波長及該等AOI及/或AZ沿兩個不同偵測方向被分散。
  5. 如請求項4之設備,其中該等兩個不同偵測方向彼此正交,且該等一或多個分散元件具有針對該兩個不同方向之兩個不同光學功率。
  6. 如請求項4之設備,其中該一或多個偵測器之各者經組態用以解析該等經分散波長及在不同AOI區域中之一者上方整合。
  7. 如請求項6之設備,其中該收集光學器件模組進一步包括:子劃分光學器件,其用於將來自該一或多個分散元件之該輸出光束劃分成各經輸出至該一或多個偵測器中之一者之不同AOI區域,及重成像光學器件,其定位於該波長平面及該AOI及/或AZ平面之間,其中該重成像光學器件經組態以使該波長平面重成像至該一或多個偵測器之各者上。
  8. 如請求項4之設備,其中該收集光學器件模組包括一平面中之一AOI及/或AZ遮罩,該平面共軛於一光瞳,該AOI及/或AZ遮罩用於自該輸出 光束之複數個空間分離AOI及/或AZ區域選擇性透射一特定AOI區域,且該一或多個偵測器經組態用於接收該特定AOI區域且解析該等經分散波長且在該特定AOI區域上方整合該經解析波長,其中該控制器進一步經組態以一次選擇一個特定AOI區域。
  9. 如請求項8之設備,其中該波長平面經定位於該AOI/AZ平面之前。
  10. 如請求項8之設備,其中該AOI/AZ遮罩由複數個固定光圈及在各個固定光圈上之一快門組成。
  11. 如請求項8之設備,其中該AOI/AZ遮罩由一固定、可移動光圈組成。
  12. 如請求項4之設備,其中該一或多個偵測器經組態用於解析該等經分散波長,且在不同AOI區域上方與死像素區域整合,該死像素區域在該不同區域之毗鄰者之間,其中AOI未經解析及分析。
  13. 如請求項3之設備,其中該收集光學器件模組包含用於將該輸出光光束分裂為一第一輸出光束及一第二輸出光束的一光束分裂器、用於接收該第一輸出光束且沿著該一或多個偵測器之一第一者之兩個不同偵測方向分散該輸出光束之波長及AOI的一第一分散元件、以及用於接收該第二輸出光束且沿著該一或多個偵測器之一第二者之兩個不同偵測方向分散該輸出光束之該波長及AZ的一第二分散元件。
  14. 如請求項4之設備,其中該波長平面定位於與該AOI/AZ平面相同之一平面處。
  15. 如請求項4之設備,其中該一或多個偵測器包括具有用於並行處理來自兩個不同AOI區域之資料之至少兩個暫存器的一偵測器。
  16. 如請求項3之設備,其中該等波長之範圍在約150nm至2000nm之間。
  17. 如請求項3之設備,其中該照明光學器件模組包含用於產生該照明光束的一亮雷射驅動光源(bright laser-driven light source),該亮雷射驅動光源包含至少一雷射持續電漿(laser-sustained plasma;LSP)源,其中該LSP源產生處於大於約0.1W/nm/cm2/sr之一峰值亮度之該照明光束。
  18. 如請求項3之設備,其中該等偏光產生光學元件在該照明光學器件模組中包含一偏光器及一第一補償器,且該等偏光分析光學元件在收集光學器件模組中包含一第二補償器及一分析器,其中選擇該等偏光狀態包含使該偏光器、該第一補償器、該第二補償器及該分析器中之任何一或多者旋轉或保持靜止。
  19. 如請求項3之設備,其中該等偏光產生光學元件包括一偏光器及一分 析器,其中選擇該等偏光狀態包含旋轉該偏光器且使該分析器保持靜止。
  20. 如請求項19之設備,其中該等偏光分析光學元件進一步包括一收集補償器,且其中選擇該等偏光狀態進一步包含旋轉該收集補償器,其中該照明光學器件模組包含用於針對各個離散AOI及/或AZ範圍使該樣品上之一目標上方之焦點之點擴散函數最小化的一切趾器(apodizer)。
  21. 如請求項20之設備,其中該等偏光產生光學元件進一步包括一照明補償器,且其中選擇該等偏光狀態進一步包含旋轉該照明補償器。
  22. 如請求項3之設備,其中該等偏光產生光學元件包括一偏光器及一照明補償器,且該等偏光分析光學元件包括一分析器,且其中選擇該等偏光狀態包含旋轉該照明補償器且使該偏光器及該分析器保持靜止,其中該等偏光分析光學元件進一步包括一收集補償器,且其中選擇該等偏光狀態進一步包含旋轉該收集補償器。
  23. 如請求項3之設備,其中該等偏光產生光學元件包括一偏光器,且該偏光分析光學元件包括一分析器,且其中選擇該等偏光狀態包含使該偏光器保持靜止且旋轉該分析器。
  24. 一種用於執行一半導體樣品之度量衡之橢圓偏光計設備,其包括:一或多個亮光源,其用於提供處於自一真空紫外線(VUV)波長至一紅外線(IR)波長之一範圍內可選擇之複數個波長之一照明光束; 照明光學器件,其用於以複數個可選擇入射角(AOI)及/或方位角(AZ)組及複數個偏光狀態將該照明光束引導朝向一樣品以提供光譜橢圓偏光量測計量方法(spectroscopic ellipsometry metrology),其中該等照明光學器件包括用於控制處於該等可選擇AOI及/或AZ組中之各者之該照明光束在該樣品上之一照明光點之一光點大小的至少一切趾器;收集光學器件,其用於將處於該等可選擇AOI及/或AZ組中之各者及偏光狀態的一輸出光束引導朝向一偵測器,該輸出光束係回應於該照明光束自該樣本發出;該偵測器,其用於基於該輸出光束產生一輸出信號或影像;及一控制器,其用於基於隨波長、AOI及/或AZ及/或偏光狀態而變之該輸出信號或影像而表徵化該樣本之一特微或偵測缺陷。
  25. 如請求項24之設備,其中該一或多個光源包括一雷射持續電漿(LSP)源。
  26. 如請求項24之設備,其中該至少一切趾器包括一組切趾器,其各擁有不可經重新組態之一光學功能,且其各可移入一照明光瞳平面(illumination pupil plane)中及自該照明光瞳平面移出,其中每一切趾器經組態用於針對該等可選擇AOI及/或AZ組之各者控制該光點大小。
  27. 如請求項24之設備,其中該至少一切趾器係一可動態調整切趾器,其可組態以藉由將在自該照明光點之一中心之一預定義距離處之輻照度減 小至小於在該照明光點之該中心之一峰值輻照度之一預定義值而控制所有該等可選擇AOI及/或AZ組的光點大小。
  28. 如請求項24之設備,其中該至少一切趾器可組態以藉由抑制該照明光束中之旁波瓣(side lobes)而控制該光點大小。
  29. 如請求項24之設備,其中該至少一切趾器亦可組態用於該樣本上之複數個不同類型的目標。
  30. 如請求項29之設備,其中該等照明光學器件包括一掃描鏡,其用於以該等可選擇AOI或AZ組中之各者掃描該樣品上之該照明光束,且該等收集光學器件包括一AOI/AZ選擇器,其用於一次一個地解析該等經選擇AOI或AZ組。
  31. 如請求項30之設備,其中該AOI/AZ選擇器包含複數個固定光圈及在各個固定光圈上之一快門或至少一可移動光圈。
  32. 如請求項24之設備,其中該至少一切趾器係一可動態調整切趾器。
  33. 如請求項32之設備,其中該動態可調整切趾器係一空間光調變器(spatial light modulator;SLM)。
  34. 如請求項24之設備,其中該至少一切趾器可組態以形成針對該等可 選擇AOI或AZ組之複數個二元振幅型樣。
  35. 如請求項24之設備,其中該至少一切趾器經組態以形成針對該等可選擇AOI或AZ組之複數個振幅型樣,其中至少一振幅型樣係持續可變的。
TW106130101A 2013-01-14 2014-01-14 用於執行半導體樣品之度量衡之橢圓偏光計設備 TWI631312B (zh)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US201361752202P 2013-01-14 2013-01-14
US61/752,202 2013-01-14
US201361878561P 2013-09-16 2013-09-16
US61/878,561 2013-09-16
US14/043,783 2013-10-01
US14/043,783 US9116103B2 (en) 2013-01-14 2013-10-01 Multiple angles of incidence semiconductor metrology systems and methods

Publications (2)

Publication Number Publication Date
TW201743029A TW201743029A (zh) 2017-12-16
TWI631312B true TWI631312B (zh) 2018-08-01

Family

ID=52110672

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106130101A TWI631312B (zh) 2013-01-14 2014-01-14 用於執行半導體樣品之度量衡之橢圓偏光計設備

Country Status (2)

Country Link
US (2) US9116103B2 (zh)
TW (1) TWI631312B (zh)

Families Citing this family (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130245985A1 (en) * 2012-03-14 2013-09-19 Kla-Tencor Corporation Calibration Of An Optical Metrology System For Critical Dimension Application Matching
US9116103B2 (en) * 2013-01-14 2015-08-25 Kla-Tencor Corporation Multiple angles of incidence semiconductor metrology systems and methods
CN104677834A (zh) * 2013-11-26 2015-06-03 北京智朗芯光科技有限公司 一种利用全穆勒矩阵椭圆偏振仪进行光学测量的方法
KR20150085956A (ko) * 2014-01-17 2015-07-27 삼성전자주식회사 반도체 소자의 계측 방법, 반도체 계측 시스템, 및 이들을 이용한 반도체 소자의 제조방법
US10072921B2 (en) 2014-12-05 2018-09-11 Kla-Tencor Corporation Methods and systems for spectroscopic beam profile metrology having a first two dimensional detector to detect collected light transmitted by a first wavelength dispersive element
CN104501738B (zh) * 2014-12-31 2017-08-11 华中科技大学 纳米尺度下大面积散射场的快速测量方法及装置
US10309907B2 (en) * 2015-03-04 2019-06-04 Kla-Tencor Corporation All reflective wafer defect inspection and review systems and methods
US9915524B2 (en) * 2015-05-11 2018-03-13 Kla-Tencor Corporation Optical metrology with small illumination spot size
US10616987B2 (en) * 2015-08-28 2020-04-07 Kla-Tencor Corporation System and method for imaging a sample with an illumination source modified by a spatial selective wavelength filter
CN106248684B (zh) * 2015-06-03 2019-12-17 法国圣戈班玻璃公司 用于检测透明基底的内部瑕疵的光学装置及方法
US10101676B2 (en) * 2015-09-23 2018-10-16 KLA—Tencor Corporation Spectroscopic beam profile overlay metrology
NL2017766A (en) 2015-12-09 2017-06-14 Asml Holding Nv A flexible illuminator
WO2017153130A1 (en) 2016-03-07 2017-09-14 Asml Netherlands B.V. Illumination system and metrology system
US9921104B2 (en) 2016-06-11 2018-03-20 Kla-Tencor Corporation Simultaneous multi-angle spectroscopy
WO2018043438A1 (ja) * 2016-08-29 2018-03-08 学校法人慶應義塾 光学測定装置、光学測定方法、及び応力検査方法
EP3296723A1 (en) * 2016-09-14 2018-03-21 ASML Netherlands B.V. Illumination source for an inspection apparatus, inspection apparatus and inspection method
US10215693B2 (en) * 2016-09-29 2019-02-26 Kla-Tencor Corporation Infrared spectroscopic reflectometer for measurement of high aspect ratio structures
US10677739B2 (en) * 2016-11-02 2020-06-09 Corning Incorporated Method and apparatus for inspecting defects on transparent substrate
US10690602B2 (en) 2017-02-17 2020-06-23 Kla-Tencor Corporation Methods and systems for measurement of thick films and high aspect ratio structures
US10168143B2 (en) 2017-03-08 2019-01-01 International Business Machines Corporation Strain monitoring of MRAM arrays
EP3385685A1 (en) * 2017-04-06 2018-10-10 ASML Netherlands B.V. Radiation receiving system
US11378451B2 (en) * 2017-08-07 2022-07-05 Kla Corporation Bandgap measurements of patterned film stacks using spectroscopic metrology
US10365211B2 (en) * 2017-09-26 2019-07-30 Kla-Tencor Corporation Systems and methods for metrology beam stabilization
US11668645B2 (en) * 2017-09-27 2023-06-06 Bruker Nano, Inc. Spectroscopic ellipsometry system for thin film imaging
US10732515B2 (en) 2017-09-27 2020-08-04 Kla-Tencor Corporation Detection and measurement of dimensions of asymmetric structures
US10551166B2 (en) * 2017-10-11 2020-02-04 Kla-Tencor Corporation Optical measurement of a highly absorbing film layer over highly reflective film stacks
CN108051887B (zh) * 2017-12-27 2024-04-09 中央民族大学 基于动态光学遮蔽板的切趾光栅二次曝光制作系统及方法
US10901241B1 (en) * 2018-03-14 2021-01-26 Onto Innovation Inc. Optical metrology system using infrared wavelengths
CN108414193B (zh) * 2018-03-28 2020-04-28 京东方科技集团股份有限公司 显示屏的检测区域定位方法和装置
US11327012B2 (en) * 2018-05-07 2022-05-10 Unm Rainforest Innovations Method and system for in-line optical scatterometry
US20220317057A1 (en) * 2018-05-07 2022-10-06 Unm Rainforest Innovations Method and system for in-line optical scatterometry
KR102139988B1 (ko) * 2018-07-12 2020-07-31 한국표준과학연구원 수직입사 타원계측기 및 이를 이용한 시편의 광물성 측정 방법
DE102018213127A1 (de) 2018-08-06 2020-02-06 Carl Zeiss Smt Gmbh Anordnung und Verfahren zur Charakterisierung einer Maske oder eines Wafers für die Mikrolithographie
US11791189B2 (en) * 2018-10-05 2023-10-17 Lam Research Corporation Reflectometer to monitor substrate movement
US11231362B1 (en) 2018-12-20 2022-01-25 Kla Corporation Multi-environment polarized infrared reflectometer for semiconductor metrology
US10801953B2 (en) 2019-01-11 2020-10-13 Kla-Tencor Corporation Semiconductor metrology based on hyperspectral imaging
US11112691B2 (en) 2019-01-16 2021-09-07 Kla Corporation Inspection system with non-circular pupil
US10804167B2 (en) 2019-01-24 2020-10-13 Kla-Tencor Corporation Methods and systems for co-located metrology
US11137350B2 (en) 2019-01-28 2021-10-05 Kla Corporation Mid-infrared spectroscopy for measurement of high aspect ratio structures
WO2020180470A1 (en) * 2019-03-01 2020-09-10 Applied Materials, Inc. Transparent wafer center finder
CN113795792A (zh) 2019-05-06 2021-12-14 Asml荷兰有限公司 暗场显微镜
US11162897B2 (en) * 2019-05-15 2021-11-02 Onto Innovation Inc. Optical metrology device using numerical aperture reduction
JP7486178B2 (ja) 2019-09-19 2024-05-17 国立研究開発法人日本原子力研究開発機構 分光分析装置
KR20210145566A (ko) * 2020-05-25 2021-12-02 삼성전자주식회사 입사광의 입사각, 입사각 스프레드, 및 방위각을 조절할 수 있는 계측 시스템
KR20220032922A (ko) 2020-09-08 2022-03-15 삼성전자주식회사 퓨필 타원 편광 계측 장치 및 방법, 및 그 방법을 이용한 반도체 소자 제조방법
CN113011018B (zh) * 2021-03-05 2022-04-29 浙江大学 一种基于光线追迹仿真模型的氢同位素固体光场重构方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5608526A (en) * 1995-01-19 1997-03-04 Tencor Instruments Focused beam spectroscopic ellipsometry method and system
US7304792B1 (en) * 2003-08-25 2007-12-04 J.A. Woollam Co., Inc. System for sequentially providing aberation corrected electromagnetic radiation to a spot on a sample at multiple angles of incidence
TW201245691A (en) * 2011-05-13 2012-11-16 Kla Tencor Corp Reconfigurable spectroscopic ellipsometer
WO2013134068A1 (en) * 2012-03-07 2013-09-12 Kla-Tencor Corporation Wafer and reticle inspection systems and method for selecting illumination pupil configurations

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030030817A1 (en) 2001-08-10 2003-02-13 Chih-Kung Lee Multifunctional opto-electronic biochip detection system
US7369233B2 (en) 2002-11-26 2008-05-06 Kla-Tencor Technologies Corporation Optical system for measuring samples using short wavelength radiation
US7948185B2 (en) 2004-07-09 2011-05-24 Energetiq Technology Inc. Inductively-driven plasma light source
US7489399B1 (en) 2004-08-20 2009-02-10 Kla-Tencor Corporation Spectroscopic multi angle ellipsometry
JP2006153770A (ja) 2004-11-30 2006-06-15 Omron Corp 分光計測装置
US7408641B1 (en) * 2005-02-14 2008-08-05 Kla-Tencor Technologies Corp. Measurement systems configured to perform measurements of a specimen and illumination subsystems configured to provide illumination for a measurement system
US8525138B2 (en) 2006-03-31 2013-09-03 Energetiq Technology, Inc. Laser-driven light source
US7989786B2 (en) 2006-03-31 2011-08-02 Energetiq Technology, Inc. Laser-driven light source
US7435982B2 (en) 2006-03-31 2008-10-14 Energetiq Technology, Inc. Laser-driven light source
US7705331B1 (en) 2006-06-29 2010-04-27 Kla-Tencor Technologies Corp. Methods and systems for providing illumination of a specimen for a process performed on the specimen
TWI429896B (zh) 2006-07-27 2014-03-11 Rudolph Technologies Inc 橢圓偏光測定儀器及監控製程之方法
US7804866B1 (en) 2006-09-26 2010-09-28 Kla-Tencor Technologies Corporation Pulse stretcher
US7755764B2 (en) 2007-01-26 2010-07-13 Kla-Tencor Corporation Purge gas flow control for high-precision film measurements using ellipsometry and reflectometry
JP4950813B2 (ja) 2007-08-30 2012-06-13 大日本スクリーン製造株式会社 分光エリプソメータ、膜厚測定装置および分光エリプソメータのフォーカス調整方法
JP5175605B2 (ja) 2008-04-18 2013-04-03 株式会社日立ハイテクノロジーズ パターン形状検査方法
US8019043B2 (en) 2008-07-18 2011-09-13 Energetiq Technology Inc. High-resolution X-ray optic and method for constructing an X-ray optic
DE112010000850B4 (de) 2009-02-13 2017-04-06 Kla-Tencor Corp. Verfahren und Vorrichtung zum Aufrechterhalten und Erzeugen eines Plasmas
US8173986B2 (en) 2009-07-30 2012-05-08 Energetiq Technology, Inc. Laser-heated infrared source
US8441639B2 (en) 2009-09-03 2013-05-14 Kla-Tencor Corp. Metrology systems and methods
WO2013181156A1 (en) * 2012-05-29 2013-12-05 Kla-Tencor Corporation Small spot size spectroscopic ellipsometer
US9116103B2 (en) * 2013-01-14 2015-08-25 Kla-Tencor Corporation Multiple angles of incidence semiconductor metrology systems and methods

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5608526A (en) * 1995-01-19 1997-03-04 Tencor Instruments Focused beam spectroscopic ellipsometry method and system
US20050105090A1 (en) * 1995-01-19 2005-05-19 Piwonka-Corle Timothy R. Focused beam spectroscopic ellipsometry method and system
US7304792B1 (en) * 2003-08-25 2007-12-04 J.A. Woollam Co., Inc. System for sequentially providing aberation corrected electromagnetic radiation to a spot on a sample at multiple angles of incidence
TW201245691A (en) * 2011-05-13 2012-11-16 Kla Tencor Corp Reconfigurable spectroscopic ellipsometer
WO2013134068A1 (en) * 2012-03-07 2013-09-12 Kla-Tencor Corporation Wafer and reticle inspection systems and method for selecting illumination pupil configurations

Also Published As

Publication number Publication date
TW201743029A (zh) 2017-12-16
US9116103B2 (en) 2015-08-25
US20140375981A1 (en) 2014-12-25
US9310290B2 (en) 2016-04-12
US20150285735A1 (en) 2015-10-08

Similar Documents

Publication Publication Date Title
TWI631312B (zh) 用於執行半導體樣品之度量衡之橢圓偏光計設備
KR102216201B1 (ko) 반도체 샘플의 계측을 수행하기 위한 타원편광 측정기 장치
US10401738B2 (en) Overlay metrology using multiple parameter configurations
JP6830492B2 (ja) 分光ビームプロファイルオーバーレイ計測
US9170156B2 (en) Normal-incidence broadband spectroscopic polarimeter containing reference beam and optical measurement system
TW201629469A (zh) 光譜光束分佈度量
JP2020517096A (ja) 層特定的照明スペクトルによる計量システム及び方法
KR20030041147A (ko) 개구수차 방법 및 장치
TWI811832B (zh) 能夠判定樣本之特性的光學計量裝置以及使用光學計量裝置特徵化樣本之方法
KR20210110751A (ko) 고종횡비 구조물의 측정을 위한 중적외선 분광법
KR20210130841A (ko) 자기 광학 커 효과 계측 시스템
CN114341594A (zh) 用于叠对测量的光栅目标结构的暗场成像
TWI601937B (zh) 用於執行半導體樣品之度量衡之橢圓偏光計設備
KR20240047335A (ko) 병렬 산란계 오버레이 계측
JP2023512258A (ja) 接合されたウェハのオーバレイ計測