KR102216201B1 - 반도체 샘플의 계측을 수행하기 위한 타원편광 측정기 장치 - Google Patents

반도체 샘플의 계측을 수행하기 위한 타원편광 측정기 장치 Download PDF

Info

Publication number
KR102216201B1
KR102216201B1 KR1020207019773A KR20207019773A KR102216201B1 KR 102216201 B1 KR102216201 B1 KR 102216201B1 KR 1020207019773 A KR1020207019773 A KR 1020207019773A KR 20207019773 A KR20207019773 A KR 20207019773A KR 102216201 B1 KR102216201 B1 KR 102216201B1
Authority
KR
South Korea
Prior art keywords
aoi
illumination
polarization
sample
output beam
Prior art date
Application number
KR1020207019773A
Other languages
English (en)
Other versions
KR20200085938A (ko
Inventor
데이빗 와이. 왕
클라우스 플록
로렌스 디. 로터
샨카르 크리쉬난
비어 요하네스 디. 드
캐털린 필립
그레고리 브레이디
무잠밀 아라인
안드레이 스케그로프
Original Assignee
케이엘에이 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US14/043,783 external-priority patent/US9116103B2/en
Application filed by 케이엘에이 코포레이션 filed Critical 케이엘에이 코포레이션
Publication of KR20200085938A publication Critical patent/KR20200085938A/ko
Application granted granted Critical
Publication of KR102216201B1 publication Critical patent/KR102216201B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/21Polarisation-affecting properties
    • G01N21/211Ellipsometry
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • G01B11/06Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material
    • G01B11/0616Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating
    • G01B11/0641Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating with measurement of polarization
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/8806Specially adapted optical and illumination features
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9501Semiconductor wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/268Bombardment with radiation with high-energy radiation using electromagnetic radiation, e.g. laser radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Health & Medical Sciences (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • General Health & Medical Sciences (AREA)
  • Immunology (AREA)
  • Biochemistry (AREA)
  • Analytical Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Pathology (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Electromagnetism (AREA)
  • Toxicology (AREA)
  • Optics & Photonics (AREA)
  • Investigating Or Analysing Materials By Optical Means (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Spectrometry And Color Measurement (AREA)
  • Investigating, Analyzing Materials By Fluorescence Or Luminescence (AREA)

Abstract

장치는, (i) 딥(deep) 자외선 파장으로부터 적외선 파장까지의 범위를 가지고 선택가능한 다수의 파장에서 조명 빔을 제공하기 위한 밝은 광원, (ii) 입사각(AOI) 및/또는 방위각(AZ)의 선택가능한 세트와 복수의 편광 상태에서 샘플을 향해 조명 빔을 지향시키기 위한 조명 광학장치 - 조명 광학 장치는, 선택가능한 AOI/AZ 세트의 각각에서 샘플에 대한 조명 빔의 스팟 사이즈를 제어하기 위한 아포다이저를 포함함 - , (iii) 선택가능한 AOI/AZ 세트와 편광 상태의 각각에서 조명 빔에 응답하여 샘플로부터의 출력 빔을, 출력 빔에 기초하여 출력 신호 또는 이미지를 생성하는 검출기를 향해 지향시키기 위한 수집 광학장치, (iv) 출력 신호 또는 이미지에 기초한 샘플의 피쳐의 특성을 묘사하기 위한 검출기를 포함한다.

Description

반도체 샘플의 계측을 수행하기 위한 타원편광 측정기 장치{ELLIPSOMETER APPARATUS FOR PERFORMING METROLOGY OF A SEMICONDUCTOR SAMPLE}
본 출원은 (i) 2013년 1월 14일자로 David Y. Wang 등에 의해 출원된 발명의 명칭이 Multiple Angle of Incidence Semiconductor Metrology System인 미국 특허 가출원 제61/752,202호, 및 (ii) David Y. Wang 등에 의해 2013년 9월 16일자로 출원된 발명의 명칭이 Multiple Angle of Incidence Semiconductor Metrology System and Methods인 미국 특허 가출원 제61/878,561호의 우선권을 주장한다. 이들 출원은 참조에 의해 전체적으로 그리고 모든 목적을 위해 본원에 통합된다.
본 발명은 일반적으로 반도체 계측 시스템(semiconductor metrology system)의 분야에 관한 것이다. 특히, 본 발명은 타원편광 측정(ellipsometry), 반사 측정(reflectometry) 및 산란 측정(scatterometry) 시스템에 관한 것이다.
더욱 축소하는 반도체 디바이스에 대한 요구가 계속 증가함에 따라, 향상된 반도체 웨이퍼 계측 시스템에 대한 요구도 또한 증가할 것이다. 로직 및 메모리 디바이스와 같은 반도체 디바이스의 제조는 통상적으로, 다양한 피쳐 및 다수의 레벨의 반도체 디바이스를 형성하기 위한 아주 많은 수의 반도체 제조 프로세스를 사용하여 반도체 웨이퍼를 프로세싱하는 것을 포함한다. 다수의 반도체 디바이스는 단일의 반도체 웨이퍼 상에서 한 장치로 제조되고, 그 후 개개의 반도체 디바이스로 분리된다.
계측 프로세스는 반도체 제조 프로세스 동안 하나 이상의 반도체 층 프로세스를 모니터링하고 제어하기 위해 다양한 단계에서 사용된다. 예를 들면, 계측 프로세스는 프로세스 단계 동안 웨이퍼 상에 형성되는 피쳐의 치수(예를 들면, 선폭, 두께, 각도 등등)와 같은 웨이퍼의 하나 이상의 특성을 측정하기 위해 사용되는데, 프로세스 단계의 품질은 하나 이상의 특성을 측정하는 것에 의해 결정된다. 이 시나리오에서, 주어진 반도체 샘플은, 다양한 기하학적 형태(geometry) 및 속성(property)의 재료에 의해 둘러싸인 2차원 및 3차원의 패턴화된 구조체 또는 막 스택(film stack)을 갖는 계측 타겟의 세트를 포함할 수도 있다.
분광 타원편광 측정(Spectroscopic ellipsometry; SE) 계측 측정치는 계측 타겟으로부터 반사되어 나오는 광을 상이한 광학적 파라미터에서 샘플링한다. 계측 타겟의 SE 데이터는 웨이퍼 특성을 결정하기 위해 사용된다. 예를 들면, 상이한 타겟 특성에 대한 SE 데이터가 쉽게 디커플링될 수 있도록 하는 향상된 SE 계측 툴에 대한 필요성이 계속되고 있다.
하기에서는, 본 발명의 소정의 실시형태의 기본적인 이해를 제공하기 위해, 본 개시의 간략화된 개요를 제공한다. 이 개요는 본 개시의 광범위한 개괄이 아니며 또한 이 개요는 본 발명의 주된/주요한 엘리먼트를 식별하거나 본 발명의 범위를 묘사하지 않는다. 그 유일한 목적은 추후 제공되는 상세한 설명에 대한 전조(prelude)로서 본원에서 개시되는 몇몇 개념들을 단순화된 형태로 제공하는 것이다.
일 실시형태에서, 반도체 샘플의 계측을 수행하기 위한 타원평광 측정기(ellipsometer) 장치가 개시된다. 그 장치는, 진공 자외선(vacuum ultraviolet; VUV) 파장으로부터 적외선(infrared; IR) 파장까지의 범위 내에서 선택가능한 복수의 파장에서 조명 빔을 제공하고 그 조명 빔을 복수의 입사각(angles of incidence; AOI) 및/또는 방위각(azimuth angle; AZ)에서 샘플링을 향해 조명 빔을 지향시키기(directing) 위한 조명 광학장치 모듈(illumination optics module) 및 AOI 및/또는 AZ의 복수의 불연속 범위(discrete range)에서 샘플로부터 방출하는 출력 빔을 수집하고(collecting) 이러한 출력 빔을 검출기 모듈로 지향시키기 위한 수집 광학장치 모듈(collection optics module)을 포함한다. 불연속 범위는 한 번에 하나씩(one at a time) 수집되고, 출력 빔은 샘플에 대한 조명 빔에 응답하는 것이다. 조명 광학장치 모듈은 조명 빔에 대한 복수의 편광 상태를 생성하기 위한 편광 생성 광학 엘리먼트를 포함하고, 수집 광학장치 모듈은 출력 빔의 편광 상태를 분석하기 위한 편광 분석 광학 엘리먼트를 포함한다. 조명 광학장치 모듈 및 수집 광학장치 모듈은 편광 생성 광학 엘리먼트와 편광 분석 광학 엘리먼트 사이에 반사성 광학 엘리먼트를 포함한다. 장치는 또한, AOI 및/또는 AZ의 불연속 범위와 편광 상태에서 샘플로부터 출력 빔을 수광 및 검출하기 위한 그리고 AOI 및/또는 AZ의 불연속 범위와 편광 상태에서 출력 빔에 기초하여 복수의 신호를 생성하기 위한 검출 모듈을 더 포함한다. 장치는 또한, 다음 중 하나 이상을 제어하도록 각각 구성되는 하나 이상의 컨트롤러를 포함한다: 파장 범위를 선택하는 것, 출력 빔의 수집을 위한 AOI 및/또는 AZ의 불연속 범위 중 하나 이상을 선택하는 것, 편광 상태를 선택하는 것, 및 AOI 및/또는 AZ의 불연속 범위와 편광 상태에서의 신호를 분석하여 샘플의 특성을 결정하는 것. 일 예에서, 샘플은 반도체 웨이퍼 상의 하나 이상의 타겟이다.
특정 구현예에서, AOI 및/또는 AZ의 불연속 범위는 공간적으로 서로 분리된다. 다른 양태에서, 파장의 범위는 약 150 nm 내지 약 2000 nm 사이에 있다. 다른 양태에서, 조명 광학장치 모듈은 조명 빔을 생성하기 위한 밝은 레이저 지속 플라즈마(laser-sustained plasma; LSP) 소스를 포함한다. 일 양태에서, LSP 소스는 약 0.1 W/nm/cm2/sr 이상의 피크 휘도에서 조명 빔을 생성한다. 일 양태에서, AOI 또는 AZ의 불연속 서브셋 각각은 적어도 0.1°만큼 분리된다. 다른 양태에서, AOI 및/또는 AZ의 불연속 범위는 약 60°보다 더 큰 AOI를 포함한다. 특정 양태에서, AOI 및/또는 AZ의 불연속 범위는, 0에서 360도까지의 AZ의 복수의 불연속 범위를 포함한다. 이 실시형태에서, 장치는 0도와 360도 사이에서 AZ의 불연속 범위를 획득하기 위해 샘플을 회전시키기 위한 위치 결정 메커니즘(positioning mechanism)을 포함할 수도 있다. 다른 양태에서, AOI 및/또는 AZ의 불연속 범위는, 0에서 90도까지의 AZ의 복수의 불연속 범위를 포함한다.
일 실시형태에서, 조명 광학장치 모듈은, 수집 광학장치 모듈에 의해 수집되어 검출되는 것과 실질적으로 동일한 AOI 및/또는 AZ의 불연속 범위의 각각에서 조명 빔을 제공하기 위한 복수의 고정된 어퍼쳐(fixed aperture) 또는 가동 어퍼쳐(movable aperture)를 포함한다. 일 양태에서, 조명 광학장치 모듈은 AOI 및/또는 AZ의 불연속 범위의 각각에서 한 번에 하나씩 조명 빔을 제공하기 위한 복수의 고정된 어퍼쳐 및 각각의 고정된 어퍼쳐 위의 셔터를 포함한다. 다른 양태에서, 조명 광학장치 모듈은 또한, 수집 광학장치 모듈에 의해 한 번에 하나씩 수집되어 검출될 때의 AOI 및/또는 AZ의 불연속 범위를 실질적으로 포함하는 AOI 및/또는 AZ의 범위에서 조명 빔을 동시에 제공하도록 구성된다. 다른 실시형태에서, 수집 광학장치 모듈은 AOI 및/또는 AZ의 불연속 범위의 각각에서 한 번에 하나씩 출력 빔을 수집하기 위한 복수의 고정된 어퍼쳐 및 각각의 고정된 어퍼쳐 위의 셔터를 포함한다. 다른 실시형태에서, 수집 광학장치 모듈은 AOI 및/또는 AZ의 불연속 범위의 각각에서 한 번에 하나씩 출력 빔을 수집하기 위한, 가동 어퍼쳐 또는 각각의 고정된 어퍼쳐 위에 셔터를 구비하는 복수의 고정형 어퍼쳐(stationary aperture) 중 어느 한 쪽을 포함한다.
특정 구현예에서, 편광 생성 광학 엘리먼트는 조명 광학장치 모듈 내에 편광기 및 제1 보상기를 포함하고, 편광 분석 광학 엘리먼트는 수집 광학장치 모듈 내에 제2 보상기 및 분석기를 포함하고, 편광 상태를 선택하는 것은, 편광기, 제1 및 제2 보상기, 및 분석기 중 임의의 하나 이상을 회전시키거나 또는 고정 유지하는 것을 포함한다. 다른 실시형태에서, 편광 생성 광학 엘리먼트는 편광기 및 분석기를 포함하고, 편광 상태를 선택하는 것은 편광기를 회전시키는 것 및 분석기를 고정 유지하는 것을 포함한다. 다른 양태에서, 편광 분석 광학 엘리먼트는 수집 보상기를 더 포함하고, 편광 상태를 선택하는 것은 수집 보상기를 회전시키는 것을 더 포함한다. 다른 양태에서, 조명 광학장치 모듈은, AOI 및/또는 AZ의 각각의 불연속 범위에 대해 샘플 상의 타겟 위에서의 초점 스팟의 점상 강도 분포 함수(point spread function)를 최소화하기 위한 아포다이저(apodizer)를 포함한다. 조명(또는 수집) 아포다이제이션(apodization)은, (예를 들면, 조명 또는 수집 빔의 진폭 및/또는 위상을 변경하기 위한 마스크를 사용하여) 광학 시스템의 입사 동공(entrance pupil)에서의 광 분포를 변경하고 그로 인해 조명(또는 수집) 빔의 강도 프로파일을 변경하는 것으로 일반적으로 정의될 수 있다. 또 다른 양태에서, 편광 생성 광학 엘리먼트는 조명 보상기를 더 포함하고, 편광 상태를 선택하는 것은 조명 보상기를 회전시키는 것을 더 포함한다.
다른 예에서, 편광 생성 광학 엘리먼트는 편광기 및 조명 보상기를 포함하고 편광 분석 광학 엘리먼트는 분석기를 포함하고, 편광 상태를 선택하는 것은 조명 보상기를 회전시키는 것 및 편광기 및 분석기를 고정 유지하는 것을 포함한다. 다른 양태에서, 편광 분석 광학 엘리먼트는 수집 보상기를 더 포함하고, 편광 상태를 선택하는 것은 수집 보상기를 회전시키는 것을 더 포함한다. 다른 실시형태에서, 편광 생성 광학 엘리먼트는 편광기를 포함하고 편광 분석 광학 엘리먼트는 분석기를 포함하고, 편광 상태를 선택하는 것은 편광기를 고정 유지하고 분석기를 회전시키는 것을 포함한다.
특정 구현예에서, 조명 광학장치 모듈은, 조명 빔을 성형하기(shaping) 위한 그리고 AOI 및/또는 AZ의 불연속 범위의 각각에 대해 샘플 상의 타겟에서의 초점의 점상 강도 분포 함수를 제어하기 위한 하나 이상의 빔 성형 광학 엘리먼트를 더 포함한다. 예를 들면, 하나 이상의 빔 성형 엘리먼트는, 샘플에 대한 조명 빔으로부터 유래하는 조명 스팟의 중심으로부터 미리 정의된 거리에서의 복사조도(irradiance)를, 조명 스팟의 중심에서의 피크 복사조도의 미리 정의된 값 미만이 되게 감소시키도록 구성된다.
예시적인 양태에서, 하나 이상의 빔 성형 엘리먼트는 아포다이저이고, 아포다이저 각각은 재구성될 수 없는 광학적 기능을 소유한다. 장치는, 아포다이저 중 선택된 아포다이저를, 조명 빔의 동공에 켤레(conjugate)인 평면으로 또는 그 평면 근처로 이동시키는 위치 결정 메커니즘을 더 포함하고, 컨트롤러는 또한, 위치 결정 메커니즘으로 하여금 아포다이저 중 선택된 아포다이저를 이동시키게 하도록 구성된다. 아포다이저는 AOI 및/또는 AZ의 불연속 범위 전체에 대응하는 미리 정의된 조명 프로파일을 제공한다.
다른 예에서, 하나 이상의 빔 성형 엘리먼트는, 조명 빔의 동공에 켤레인 평면에 또는 그 평면 근처에 위치되는 동적으로 조정가능한 아포다이저이고, 동적으로 조정가능한 아포다이저는 AOI 및/또는 AZ의 불연속 범위 전체에 대응하는 미리 정의된 조명 프로파일을 제공하도록 구성가능하다. 컨트롤러는 또한, 동적으로 조정가능한 아포다이저를 조정하도록 구성된다.
다른 실시형태에서, 수집 광학장치 모듈은, 수집 동공에 켤레하는 평면에 있는 또는 그 평면 근처에 있는 위치에 위치되거나 또는 그 위치로 이동가능한 하나 이상의 아포다이저를 포함하고, 아포다이저는 AOI 및/또는 AZ의 별개의 범위 전체에 대응하는 미리 정의된 수집 프로파일을 제공한다.
다른 예에서, 조명 광학장치 모듈은 (i) 제1 오프 축 포물면(off axis parabolic; OAP) 미러 및 (ii) 제1 OAP가 AOI 및/또는 AZ의 불연속 범위에서 한 번에 하나씩 조명 빔을 샘플로 반사하도록 조명 빔을 제1 OAP 미러 상의 복수의 위치로 지향시키기 위해, 복수의 위치에서 조명 빔을 수광하도록 움직일 수 있는 제1 병진 미러(translation mirror)를 포함한다. 이 예에서, 수집 광학장치 모듈은, (i) 검출기, (ii) 제2 OAP, 및 (iii) 제2 OAP가 AOI 및/또는 AZ의 불연속 범위에서 한 번에 하나씩 출력 빔을 검출기로 반사하도록 출력 빔을 제2 OAP 미러 상의 복수의 위치로 지향시키기 위해, 복수의 위치에서 출력 빔을 수광하도록 움직일 수 있는 제2 병진 미러를 포함한다.
다른 실시형태에서, 조명 광학장치 모듈은, 빔 스플리터, 오프 축 포물면(OAP) 미러 및 OAP가 AOI 및/또는 AZ의 불연속 범위에서 한 번에 하나씩 조명 빔을 샘플로 반사하도록 조명 빔을 OAP 미러 상의 복수의 대응하는 위치로 지향시키기 위해, 병진 미러의 복수의 병진 위치에서 빔 스플리터를 통해 조명 빔을 수광하도록 움직일 수 있는 병진 미러(translation mirror)를 포함한다. 수집 광학장치 모듈은, (i) 빔 스플리터, (ii) OAP, (iii) 병진 미러, 및 (iv) 제2 출력 빔으로 하여금 샘플로부터 방사해 나가 OAP 상의 대응하는 위치에서 반사하게 하기 위해, 그 다음, AOI 및/또는 AZ의 불연속 범위에서 한 번에 하나씩 제2 출력 빔을 수집하도록, 빔 스플리터를 향해 그리고 검출기 쪽으로 복수의 병진 위치에서 병진 미러에서 반사해 나가게 하기 위해, 출력 빔을 샘플을 향해 되반사하기 위한 구면 미러(spherical mirror)를 포함한다.
다른 양태에서, 수집 광학장치 모듈은 또한, 하나 이상의 AOI에서 조명하고 하나 이상의 상이한 AOI에 걸쳐 수집하는 것에 의해, 명시야 계측(bright field metrology)에 대해 출력 빔으로부터 0차(0th order) 광을 수집하도록 그리고 암시야 계측(dark field metrology)에 대해 출력 빔으로부터 비 0차(non-0th order) 광을 수집하도록 구성가능하다. 일 구현예에서, 수집 광학장치 모듈은, 샘플에서 반사되어 나가는 조명 AOI(AZ)와 동일한 AOI(AZ)를 수집한다. 다른 예에서, 수집 광학장치 모듈은, 샘플에서 반사되어 나가는 조명 AOI(AZ)와는 상이한 AOI(AZ)를 수집한다. 또 다른 예에서, 수집 광학장치 모듈은 광을 스펙트럼으로 분산시키기 위한 분산 엘리먼트(dispersing element)를 포함한다. 다른 실시형태에서, 장치의 조명 동공 및 수집 동공은, 조명 및 수집 개구수(numerical aperture)를 설정하도록 배열되고, 장치의 조명 및 수집 시야 조리개(field stop)는 소스 사이즈 및 이미지 사이즈를 설정하도록 배열된다.
대안적인 실시형태에서, 장치는, (i) 진공 자외선(VUV) 파장으로부터 적외선(IR) 파장까지의 범위 내에서 선택가능한 복수의 파장에서 조명 빔을 제공하고 복수의 입사각(AOI) 및/또는 방위각(AZ)에서 샘플을 향해 조명 빔을 지향시키기 위한 조명 광학장치 모듈, 및 (ii) AOI 또는 AZ의 실질적으로 전체에서 샘플로부터 방사되는 출력 빔을 수집하기 위한 그리고 이러한 출력 빔을 하나 이상의 검출기 상으로 실질적으로 동시에 지향시키기 위한 수집 광학장치 모듈을 포함하고, 출력 빔은 샘플에 대한 조명 빔에 응답하는 것이다. 조명 광학장치 모듈은 조명 빔에 대한 복수의 편광 상태를 생성하기 위한 편광 생성 광학 엘리먼트를 포함하고, 수집 광학장치 모듈은 출력 빔의 편광 상태를 분석하기 위한 편광 분석 광학 엘리먼트를 포함하고, 조명 광학장치 모듈 및 수집 광학장치 모듈은, 복수의 편광 상태를 생성하기 위한 광학 엘리먼트와 편광 상태를 분석하기 위한 광학 엘리먼트 사이에 반사성 광학 엘리먼트를 포함한다. 장치는 또한, AOI 및/또는 AZ에서 샘플로부터 출력 빔을 수광하고 검출하여 이러한 AOI 및 AZ 및 편광 상태에서의 출력 빔에 기초하여 복수의 신호 또는 이미지를 생성하기 위한 하나 이상의 검출기 및 다음의 동작 중 하나 이상을 제어하도록 각각 구성되는 하나 이상의 컨트롤러를 포함한다: 파장 범위를 선택하는 것, 편광 상태를 선택하는 것, 및 파장, AOI 및/또는 AZ, 및 선택된 편광 상태에서의 신호 또는 이미지를 분석하여 샘플의 특성을 결정하는 것.
일 구현예에서, 수집 광학장치는 파장 방향에서 파장을 분산시키고 AOI/AZ 방향에서 AOI 및/또는 AZ를 분산시켜 파장 및 AOI 및/또는 AZ가 두 개의 상이한 검출 방향을 따라 분산되게 하기 위한 하나 이상의 분산 엘리먼트를 포함한다. 다른 양태에서, 두 개의 상이한 방향은 서로 직교한다. 다른 양태에서, 하나 이상의 분산 엘리먼트는 두 개의 상이한 방향에 대해 두 개의 상이한 광학적 배율(power)을 갖는다. 또 다른 양태에서, 하나 이상의 검출기는 복수의 검출기를 포함하고, 각각의 검출기는, 상이한 AOI 영역(region) 중 하나에 걸쳐 통합하는 분산된 파장을 분해하도록 구성된다. 다른 양태에서, 수집 광학장치 모듈은, 하나 이상의 분산 엘리먼트로부터의 출력 빔을, 검출기 중 하나로 각각 출력되는 상이한 AOI 영역으로 분할하기 위한 세분화 광학장치(sub-dividing optics)를 더 포함한다. 다른 예에서, 수집 광학장치는, 파장면(wavelength plane)과 AOI/AZ 평면 사이에 위치되는 재이미지화 광학장치(re-imaging optic)를 더 포함하고, 재이미지화 광학장치는 파장면을 각각의 검출기 상으로 재이미지화하도록 구성된다.
다른 구현예에서, 수집 광학장치 모듈은, 출력 빔의 복수의 공간적으로 분리된 AOI/AZ 영역으로부터 특정한 AOI/AZ 영역을 선택적으로 투과시키기 위한 동공에 켤레인 평면에 있는 AOI/AZ 마스크 및 특정한 AOI/AZ 영역을 받아들이고 분산된 파장을 분해하고 이렇게 분해된 파장을 특정한 AOI/AZ 영역에 걸쳐 통합하기 위한 검출기를 포함하고, 컨트롤러는 또한, 한 번에 하나의 특정한 AOI/AZ 영역을 선택하도록 구성된다. 다른 양태에서, 파장면은 AOI/AZ 평면 앞에 위치된다. 다른 양태에서, AOI/AZ 마스크는, 각각의 고정된 어퍼쳐 상에 셔터를 갖는 복수의 고정된 어퍼쳐로 구성된다. 또 다른 예에서, AOI/AZ 마스크는 고정된, 가동 어펴쳐로 구성된다.
다른 실시형태에서, 수집 광학장치 모듈은, AOI가 분해 및 분석되지 않는 상이한 AOI 영역 중 인접한 AOI 영역 사이에 광학적으로 무응답 지역(unresponsive area)을 갖는 상이한 AOI 영역에 걸쳐 통합하는 분산된 파장을 분해하기 위한 단일의 검출기를 포함한다. 다른 양태에서, 수집 광학장치는, 출력 빔을 제1 출력 빔 및 제2 출력 빔으로 분할하기 위한 빔 스플리터, 제1 출력 빔을 수광하기 위한 그리고 출력 빔의 파장 및 AOI를 제1 검출기의 두 개의 상이한 검출 방향을 따라 분산시키기 위한 제1 분산 엘리먼트, 제2 출력 빔을 수광하기 위한 그리고 출력 빔의 파장 및 AZ를 제2 검출기의 두 개의 상이한 검출 방향을 따라 분산시키기 위한 제2 분산 엘리먼트를 포함한다. 다른 예에서, 파장면은 AOI/AZ 평면과 동일한 평면에 위치된다. 다른 양태에서, 수집 광학장치 모듈은 두 개의 상이한 AOI 영역으로부터의 데이터를 병렬로 프로세싱하기 위한 적어도 두 개의 레지스터를 갖는 검출기를 포함한다. 다른 실시형태에서, 조명 및 수집 광학장치 모듈은 상기에서 설명되는 바와 같은 피쳐를 포함한다.
다른 실시형태에서, 장치는, (i) 진공 자외선(VUV) 파장으로부터 적외선(IR) 파장까지의 범위 내에서 선택가능한 복수의 파장에서 조명 빔을 제공하기 위한 하나 이상의 밝은 광원, (ii) 입사각(AOI) 및/또는 방위각(AZ)의 복수의 선택가능한 세트와 복수의 편광 상태에서 샘플을 향해 조명 빔을 지향시키기 위한 조명 광학장치 - 조명 광학 장치는, AOI 및/또는 AZ의 선택가능한 세트의 각각에서 샘플에 대한 조명 빔의 조명 스팟의 스팟 사이즈를 제어하기 위한 적어도 하나의 아포다이저를 포함함 - , (iii) AOI 또는 AZ의 선택가능한 세트와 편광 상태의 각각에서 조명 빔에 응답하여 샘플로부터 방사되는 출력 빔을 검출기를 향해 지향시키기 위한 수집 광학장치, (iv) 출력 빔에 기초하여 출력 신호 또는 이미지를 생성하기 위한 검출기, 및 (v) 출력 신호 또는 이미지에 기초한 샘플의 피쳐의 특성을, 파장, AOI 및/또는 AZ, 및/또는 편광 상태의 함수로서 묘사하기 위한 검출기를 포함한다.
일 실시형태에서, 하나 이상의 광원은 레이저 지속 플라즈마(LSP) 소스를 포함한다. 특정 구현예에서, 적어도 하나의 아포다이저는, 재구성될 수 없는 광학적 기능을 각각 소유하며, 조명 동공 평면 안팎으로 이동가능한 아포다이저의 세트를 포함하며, 각각의 고정된 아포다이저는 AOI 또는 AZ의 선택가능한 세트의 각각에 대한 스팟 사이즈를 제어하도록 구성된다. 다른 양태에서는, 조명 동공 평면에 켤레인 평면에 또는 그 평면 근처에, AOI 또는 AZ의 선택가능한 세트의 전체에 대한 스팟 사이즈를 제어하기 위한 적어도 하나의 아포다이저가 존재한다. 다른 양태에서, 적어도 하나의 아포다이저는, 조명 스팟의 중심으로부터 미리 정의된 거리에서의 복사조도를, 조명 스팟의 중심에서의 피크 복사조도의 미리 정의된 값 미만이 되도록 감소시키는 것에 의해, 스팟 사이즈를 제어하도록 구성가능한 동적으로 조정가능한 아포다이저이다. 다른 실시형태에서, 적어도 하나의 아포다이저는, 조명 빔에서 사이드 로브를 억제하는 것에 의해 스팟 사이즈를 제어하도록 구성가능하다. 또 다른 예에서, 적어도 하나의 아포다이저는 또한, 샘플 상의 복수의 상이한 타입의 타겟에 대해 구성가능하다.
다른 양태에서, 조명 광학장치는 AOI 또는 AZ의 선택가능한 세트의 각각에서 샘플에 대한 조명 빔을 주사하기 위한 주사 미러를 포함하고, 수집 광학장치는 AOI 또는 AZ의 선택된 세트를 한 번에 하나씩 분해하기 위한 AOI/AZ 선택기를 포함한다. 다른 양태에서, AOI/AZ 선택기는, 각각의 고정된 어퍼쳐에 대한 셔터를 갖는 복수의 고정된 어퍼쳐 또는 적어도 하나의 가동 어퍼쳐를 포함한다. 다른 양태에서, 적어도 하나의 아포다이저는 동적으로 조정가능한 아포다이저이다. 일 예에서, 동적으로 조정가능한 아포다이저는 공간적 광 변조기(spatial light modulator; SLM)이다. 다른 실시형태에서, 적어도 하나의 아포다이저는 AOI 또는 AZ의 선택가능한 세트에 대한 복수의 이진 진폭 패턴(binary amplitude pattern)을 형성하도록 구성가능하다. 다른 예에서, 적어도 하나의 아포다이저는 AOI 또는 AZ의 선택가능한 세트에 대한 복수의 진폭 패턴을 형성하도록 구성되는데, 적어도 하나의 진폭 패턴은 연속적으로 가변적이다.
대안적인 실시형태에서, 본 발명은 계측 시스템 상에서 분광 타원편광 측정을 수행하는 방법에 관련된다. 방법은, (i) 다수의 파장에서 조명 광을 생성하는 것, (ii) 조명 광에 대한 복수의 상이한 편광 상태를 선택하는 것, (iii) 조명 광에 대한 복수의 입사각(AOI) 또는 방위각(AZ)을 선택하는 것, (iv) 다수의 파장, 상이한 편광 상태, 및 AOI 또는 AZ에서 타겟 상에 스팟을 형성하도록, 조명 광을 성형하여 지향시키는 것, (v) 조명 광에 응답하여 샘플로부터 방사되는 출력 광을 수집 및 검출하고 검출된 출력 광에 기초하여 신호 또는 이미지를, 각각의 파장, 상이한 편광 상태, 및 AOI 또는 AZ의 함수로서 생성하는 것, 및 (vi) 신호 또는 이미지를 분석하여 샘플의 특성을 결정하는 것.
본 발명의 이들 및 다른 양태는 도면을 참조로 하기에서 더 설명된다.
도 1은, 본 발명의 일 실시형태에 따른, 특정 입사각(AOI)에서 광을 수집하기 위한 분광 타원편광 측정(SE) 툴의 개략적인 표현이다.
도 2는, 본 발명의 제2 실시형태에 따른, 다수의 AOI의 동시적 검출을 위한 SE 툴의 개략적인 표현이다.
도 3a는, 본 발명의 특정 구현예에 따른, 다수의 검출기에서 다수의 AOI 영역을 동시에 수집하기 위한 SE 툴의 단순화된 개략적 예이다.
도 3b는, 본 발명의 다른 특정 구현예에 따른, 다수의 AOI 사이에서부터 AOI 범위를 선택적으로 검출하기 위한 구성가능한 AOI 마스크를 구비하는 SE 툴의 단순화된 개략적인 제2 예이다.
도 3c는, 대안적인 실시형태에 따른, 단일의 검출기 상에서의 다수의 AOI/AZ 영역의 동시적 수집을 예시한다.
도 3d는, 일 실시형태에 따른, 분산된 AOI 및 AZ를 동시에 수집하기 위한 수집 측 광학장치(collection side optics)의 개략적인 표현이다.
도 4a는, 본 발명의 다른 실시형태에 따른, 구성가능한 세트의 아포다이저를 갖는 구성가능한 SE 툴의 개략적인 표현이다.
도 4b는, 본 발명의 대안적인 실시형태에 따른, 동적으로 조정가능한 아포다이저를 갖는 구성가능한 SE 툴의 개략적인 표현이다.
도 5a는, 일 구현예에 따른, 예시적인 어퍼쳐 시스템의 개략적인 측면도이다.
도 5b는, 제2 구현예에 따른, 예시적인 어퍼쳐 시스템의 개략적인 측면도이다.
도 6a는, 본 발명의 일 실시형태에 따른, 조명 선택기의 개략적인 사시도이다.
도 6b는, 어퍼쳐 구성의 제2 예를 달성하기 위해 세 개의 어퍼쳐 구성을 결합하는 것을 예시한다.
도 7a는, 본 발명의 다른 실시형태에 따른, 오프 축 포물면(OAP) 미러를 구비하는 구성가능한 계측 툴의 개략적인 표현이다.
도 7b는, 본 발명의 대안적인 구현예에 따른, 오프 축 포물면(OAP) 미러를 구비하는 구성가능한 듀얼 패스(dual-pass) 계측 툴의 개략적인 표현이다.
도 8은, 본 발명의 일 실시형태에 따른, SE 계측 프로시져를 예시하는 플로우차트이다.
하기의 설명에서는, 본 발명의 완전한 이해를 제공하기 위해 수많은 특정 상세가 개시된다. 본 발명은 이들 특정 상세의 일부 또는 전체가 없어도 실시될 수도 있다. 다른 경우에서, 널리 공지된 컴포넌트 또는 프로세스 동작은, 본 발명을 불필요하게 모호하게 하지 않기 위해, 상세히 설명되지 않는다. 본 발명이 특정 실시형태와 연계하여 설명될 것이지만, 본 발명을 실시형태로 제한하는 것은 의도되지 않는다는 것이 이해될 것이다.
분광 타원편광 측정기(SE) 시스템을 사용하는 것에서의 한 문제점은, 검출된 데이터의 커플링에 관련된다. 반도체 웨이퍼 또는 레티클과 같은 특정한 샘플로부터의 측정된 타원편광 측정 파라미터는, 파장(λ) 및 x 및 y 타겟 위치와 같은 다수의 계측 파라미터에 의존한다. 일반적으로, 상이한 툴 설정(예를 들면, λ, 타겟 위치 등등)에서의 타원편광 측정데이터는 독립적으로 수집되고, 타겟 특성을 추론하기 위한 모델, 예컨대 CD 또는 오버레이에 입력된다. 타겟이 더 복잡해짐에 따라, 타겟 특성에서의 변경에 대한 수집된 데이터는 고도로 상관되거나 또는 불충분하고, 따라서 모델이 타겟 특성을 정확하게 결정할 수 없게 된다.
AOI의 상이하고 특정한 좁은 범위를 생성하도록 구성될 수 있는 SE 시스템이 타겟 파라미터 사이의 상관관계를 무너뜨릴 수도 있지만, 이러한 시스템은 AOI의 각각의 폭이 좁은 범위 및 결과적으로 나타나는(resulting) NA에 대해 작은 스팟 사이즈를 제공할 수 없을 수도 있다. 예를 들면, AOI 선택을 갖는 SE 시스템은, 소정의 작은(예를 들면, 40 미크론×40미크론 이하의) 치수의 피쳐를 측정하기 위해 유용할 수도 있는, 충분히 작은 스팟 사이즈를 달성하기 위한 메커니즘을 포함하지 않을 수도 있다. 추가적으로, 이러한 SE 시스템은 AOI의 제한된 범위를 가질 수도 있으며 소정의 계측 애플리케이션에 대해 고감도를 제공하기 위한 유사 브루스터 각(near-Brewster angle)을 달성하지 않을 수도 있다.
간략화를 위해, 용어 "AOI"는 본원에서 어구 "AOI의 별개의 폭이 좁은 범위"에 대한 약칭으로서 사용된다. 마찬가지로, 용어 "불연속 AOI"는 용어 "AOI의 불연속 범위"와 상호교환적으로 사용된다. 몇몇 SE 시스템은 단일의 또는 불연속 AOI를 이용하여 구성되고 셋업될 수도 있다. 그러나, 일반적으로, SE 시스템은 실제로는 단일의 또는 불연속 AOI만을 생성하는 것이 아니라, 대신 "선택된" 단일의 또는 불연속 AOI 근처를 중심으로 하는 AOI의 작은 범위를 생성하거나 또는 "선택된" 단일의 AOI를 포함할 수도 있다. 다른 SE 시스템에서는, 단일의 또는 불연속 AOI를 입력하는 것과는 대조적으로, AOI의 작고 좁은 범위가 셋업 또는 레시피 파라미터로서 입력될 수도 있다.
본 발명의 소정의 타원편광 측정 실시형태는, 동시적인 또는 순차적인 다수의 입사각(AOI) 및 방위각(AZ)에서 측정치를 획득하기 위한 타원편광 측정기를 제공할 수도 있는데, 그 타원편광 측정기는 타겟 특성 사이의 이들 상관 관계를 무너뜨리는 경향이 있다. 타원편광 측정기 툴은 또한, 타겟의 뮐러(Mueller) 매트릭스의 전체 또는 서브셋을 결정하도록 구성가능한 광학 성분을 생성하는 편광 상태 및 그 광학 성분을 분석하는 편광 상태를 포함할 수도 있다. 툴은 또한, VUV(진공 자외선), 가시광선, 근적외선(near infrared; NIR) 및 적외선(IR)을 포함하는 넓은 범위의 파장 범위에 대해 구성가능한 밝은 광원을 포함할 수도 있다. 소정의 실시형태에서, SE 시스템은, AOI 및 다수의 AOI를 포함하는 상이하고 선택가능한 AOI 구성, 동시적인 그리고 순차적인 AOI, 뮐러 매트릭스 타원편광 측정을 위한 구성가능한 편광 상태, 및 구성가능한 VUV 내지 NIR 파장 범위를 제공한다. 이 시스템 실시형태(뿐만 아니라 본원에서 설명되는 다른 실시형태)의 조명 측은, VUV 내지 UV와 함께 작동하며 전체 VUV-IR 범위에 걸쳐 광범위하게 컬러 보정될 반사성 광학장치를 포함할 수도 있다.
소정의 실시형태에서, 분광 타원편광 측정(SE)에서의 다수의 AOI 및 AZ는, 고정된 조명 및 수집 동공 및 고정된 조명 및 수집 시야 조리개를 사용하는 것에 의해 향상된 측정 반복성 및 신뢰성을 허용하도록 제공될 수 있다. 도 1은, 본 발명의 일 실시형태에 따른, 특정 입사각(AOI)에서 광을 수집하기 위한 분광 타원편광 측정(SE) 툴(100)의 개략적인 표현이다. 일반적으로, 계측 툴은 구성가능한 파장에서 밝은 조명 광을 제공하기 위한 조명 광학장치(102) 및 AOI의 별개의 공간적으로 분리된 범위에서 샘플(샘플)(132) 상의 타겟으로부터의 광을 한 번에 하나씩 또는 동시에 검출하기 위한 수집 광학장치(104)를 포함할 수도 있다. 조명 광학장치(102) 및 수집 광학장치(104)는 또한, 타겟의 완전한 또는 부분적인 뮐러 매트릭스를 결정하기 위한 편광 상태를 포함하는 다양한 편광 상태를 갖는 광을 생성하고 수집하도록 구성가능할 수도 있다.
도시되는 바와 같이, 조명 광학장치(102)는 하나 이상의 광원, 예를 들면, 조명 빔을 생성하기 위한 광원(106)을 포함한다. 예시된 구현예에서, 하나 이상의 조명 소스(102)는, 진공 UV 내지 근적외선의 파장 범위(예를 들면, 약 150nm 내지 약 2000nm)를 커버하는 하나 이상의 광대역 소스를 포함할 수도 있다. 일 예에서, 조명 소스는 고휘도 광을 생성하기 위한 레이저 지속 플라즈마(LSP) 소스이다. 하나의 예시적인 LSP는, 미국 매사추세츠 워번(Woburn)의 Energetiq Technology, Inc.로부터 상업적으로 입수가능한 EQ-1000이다. 여러 LSP 실시형태는, 다음의 미국 특허 출원: Donald K. Smith 등에 의해 2011년 5월 3일자로 출원된 발명의 명칭이 "Laser-Driven Light Source"인 미국 공개 특허 공보 제US 2011/0204265호, 및 Donald K. Smith 등에 의해 2008년 7월 2일자로 출원된 발명의 명칭이 "Laser-Driven Light Source"인 미국 공개 특허 공보 제2009/0032740호에서 설명되는데, 이들 출원은 참조에 의해 본원에 통합된다. 다른 광원은 고체 상태 레이저 또는 다른 타입의 레이저를 포함할 수도 있다.
일 예에서, 하나 이상의 광원은 또한, 57-73도의 범위에서 동시적 AOI를 생성하기 위한 적어도 0.1 W/(nm cm Sr)의 피크 휘도를 제공한다. 다른 양태에서, 하나 이상의 광원은 190 nm 근처의 파장에 대해 적어도 0.1 W/(nm cm Sr)의 피크 휘도를 제공할 수도 있다.
계측 시스템은 또한, 광원의 파워 및 파장을 안정화시키기 위한, 광원에 대한 빠른 피드백을 포함할 수도 있다. 이러한 LSP 및 다른 광대역 광원을 제어하기 위한 여러 메커니즘은, Daniel Kandel 등에 의해 2010년 8월 31일자로 출원된 발명의 명칭이 "Metrology Systems and Methods"인 미국 특허 공개 특허 공보 제2011/0069312호에서 더 설명되는데, 이 출원은 참조에 의해 본원에 통합된다. 광원은 또한 제논 램프 및/또는 듀테륨 램프를 포함할 수도 있다.
다른 구현예에서, 광원은, 특정한 계측 애플리케이션에서 요구될 때, 파장의 상이한 범위를 커버하도록 선택가능한 상이한 세트의 레이저 다이오드로 형성되는 복수의 레이저 다이오드로 구성된다. 예를 들면, 레이저 다이오드 어레이는, 딥 UV(ultra-violet), UV, VIS(visible; 가시광선), 및 NIR(근적외선) 범위로부터 선택적으로 획득되는 파장 폭을 제공한다. 레이저 다이오드 어레이의 여러 실시형태는, Anant Chimmalgi 등에 의해 2013년 6월 21일자로 출원된 발명의 명칭이 "Diode Laser Based Broad Band Light Sources for Wafer Inspection Tools"인 미국 특허 출원 제13/924,216호에서 더 설명되는데, 이 출원은 참조에 의해 그 전체가 본원에 통합된다.
조명 광학장치(102)는 또한, 어퍼쳐 및 시야 조리개 위치 및 사이즈를 설정하는 것, 및 편광기(110)에 대한 조명 빔을 컨디셔닝하는 것을 포함하는 조명 빔을 컨디셔닝하기 위한 타원편광 측정기 조명 광학장치(ellipsometer illumination optic; 108)를 포함할 수도 있다. 타원편광 측정기 조명 광학장치(108)는 일반적으로, 임의의 적절한 빔 성형 기능, 예컨대 빔 프로파일을 조작하는 것, 시준하는 것(collimating), 수렴시키는 것(converging), 확산시키는 것(expanding), 감소시키는 것 등등을 수행하도록 구성될 수도 있다.
편광기(110)는 회전하는 편광기 타원편광 측정(rotating polarizer ellipsometry; RPE)을 위해 회전하도록 또는 다른 타입의 타원편광 측정을 위해 고정되도록 구성가능할 수도 있다. 조명 광학장치는 또한, 조명 경로에 조명 보상기(112)를 파장판(또는 대안적으로 광탄성 변조기(photoelastic modulator), 음향-광 변조기(acousto-optic modulator), 액정 변조기, 또는 다른 편광 감지 상 변조 디바이스(polarization-sensitive phase modulation device)) 형태로 포함할 수도 있다. 조명 보상기(112)는 고정형으로 또는, 예를 들면, 회전하는 보상기 타원편광 측정(rotating compensator ellipsometry; RCE) 모드를 위해 회전형으로 구성가능할 수도 있다. 이 조명 경로의 보상기(112)를 회전시키는 것 및/또는 편광기(110)를 회전시키는 것은, 조명 빔의 편광 상태가 변하는 것을 허용한다. 이들 편광 상태는 S 및 P 편광 상태뿐만 아니라 더 일반적인 편광 상태를 포함할 수 있다. 편광 상태는, 본원에서 더 설명되는 바와 같이, 뮐러 매트릭스 기반 타원편광 측정을 수행하도록 선택될 수도 있다.
편광기(110) 및 보상기(112)는 VUV로부터 NIR까지의 범위에 있는 광대역 광과 함께 작동하도록 설계될 수도 있다. 예를 들면, 적절한 편광기는 MgF2 로숀 프리즘(Rochon prism)을 포함하고 적절한 파장판은 MgF2 및 석영 파장판뿐만 아니라 파장 범위에 따라 다른 재료를 포함한다.
조명 광학장치는 또한, 불연속 AOI 또는 AZ 선택을 위한 고정된 또는 가동 어퍼쳐 및/또는 셔터 중 어느 하나를 포함할 수도 있다. 예시된 예에서, 미러(116 및 115)는 샘플(132)에 대한 조명 빔을 집속시키도록 구성되고, 어퍼쳐(114)는 샘플(132) 상에 집속되는 AOI 및/또는 AZ의 하나 이상의 범위를 정의한다. 예를 들면, 비반사성 재료가 없는 곳에서 특정한 반사성 타입의 어퍼쳐를 제공하기 위해, 비반사성 재료가 미러 상에 패턴화될 수 있다.
이 예시된 실시형태에서, 어퍼쳐 엘리먼트(114)는, 공간적으로 별개인 세트의 AOI 또는 AZ를 샘플 상으로 제공하기 위한 고정된 어퍼쳐의 세트를 포함한다. 대안적으로, 어퍼쳐 엘리먼트(114)는 또한, 각각의 어퍼쳐에 대한 셔터를 포함할 수도 있고, 그 결과 불연속 AOI 또는 AZ의 각각의 세트는 샘플을 조명하도록 독립적으로 선택될 수도 있게 된다. 다른 실시형태에서, 어퍼쳐 엘리먼트(114)는, AOI 또는 AZ의 상이하고 공간적으로 별개인 범위를 선택하기 위한 하나 이상의 가동 어퍼쳐를 포함할 수도 있다. 여러 구성가능한 어퍼쳐는 KLA-Tencor Corp.에 의해 2013년 3월 1일자로 출원된 PCT 국제 출원 제PCT/US2013/028650호에서 더 설명되는데, 이 출원은 참조에 의해 그 전체가 본원에 통합된다.
다른 구현예에서, 어퍼쳐 디바이스는 또한, 불투명 재료 또는 불투명 재료로 패턴화되는 투과형 재료의 홀을 통해 조명 광이 통과하도록 배열되는 투과성 어퍼쳐 엘리먼트(transmissive aperture element)의 형태일 수도 있다. 그러면, 조명 빔의 광선(ray)은, 예를 들면 투과형 집속 엘리먼트(transmissive type focusing element)에 의해, 불연속 AOI 및 AZ에서 웨이퍼 상으로 집속될 수도 있다. 그러나, 투과형 어퍼쳐 엘리먼트는 VUV 내지 UV에서 잘 작동하지 않을 수도 있다.
어느 하나의 어퍼쳐 예에서, 어퍼쳐 엘리먼트는 동공 평면에 또는 동공 평면 근처에 배열되고 이 동공 평면의 특정한 공간적 부분에서 조명 광선을 투과시키거나 반사하여 AOI 또는 AZ의 선택된 불연속 범위로 나타나도록 구성된다. 다른 방식으로 말하면, 계측 시스템은 AOI 및 AZ의 범위의 별개의 선택을 조명 빔에게 동시에(예를 들면, 셔터나 가동 어퍼쳐 없이) 또는 한 번에 하나씩(예를 들면, 셔터 또는 가동 어퍼쳐를 통해) 제공할 수도 있다. 예를 들면, AOI의 불연속 범위는, 세트 사이에서 적어도 약 0.1°의 분리를 가지면서 8°와 거의 동일한 또는 더 작은 AOI 범위를 각각 갖는데, 여기서 세트의 전체는 합쳐져서 약 50°와 약 80° 사이의 범위를 커버한다. 일 실시형태에서, AOI의 선택가능한 범위 중 적어도 하나는 약 60°보다 더 큰 AOI를 포함한다. 마찬가지로, AZ의 불연속 범위는, 세트 사이에서 적어도 약 0.1°의 분리를 가지면서 20°와 거의 동일한 또는 더 작은 AZ 범위를 각각 갖는데, 여기서 세트의 전체는 합쳐져서 약 0°와 약 360° 사이의 범위를 커버한다.
수집 광학장치(104)는 불연속 AOI 및 AZ에서 샘플(132)로부터의 광을 수집하도록 구성가능할 수도 있다. 즉, 수집 광학장치(104)는 샘플(132)로부터 복수의 상이한 AOI 및 AZ를 구비하는 검출된 광을 수집하도록 사이즈가 정해질 수도 있다. 예시된 실시형태에서, 미러(117 및 118)는 샘플(132)에서 반사해 나가는 조명 빔을 수집하고 그 빔을 검출기(124)를 향해 지향시킨다. 어퍼쳐 엘리먼트(126)는 상이한 AOI 및 AZ를 선택하도록 구성된다. 예를 들면, 세 개의 상이한 AOI 상에 중심을 둔 세 개의 상이한 수집 어퍼쳐 중 하나는 세 개의 상이한 AOI 세트 중 하나를 한 번에 하나씩 선택하기 위해 사용될 수도 있다.
그 다음, 광학 엘리먼트는 샘플(132)에 의해 반사되는 광의 편광 상태를 분석하도록 배열될 수도 있다. 예를 들면, 제2 보상기(120) 및 분석기(122)는 상이한 편광 상태를 수집하기 위해 상이한 구성으로 회전되거나 상이한 구성에 고정될 수도 있다. 제2 보상기는 파장판(또는 대안적으로 광탄성 변조기, 음향-광 변조기, 액정 변조기, 또는 다른 편광 감지 상 변조 디바이스)의 형태를 취할 수도 있다.
회전하는 편광기 타원편광 측정(RPE) 모드에서는, 편광기만이 회전하고, 한편 다른 회전가능한 타원편광 측정 컴포넌트(예컨대 조명 경로에서의 조명 보상기, 분석기, 및 수집 경로에서의 수집 보상기)는 고정되어 유지된다. 다른 모드는 RPRC(rotating polarizer, rotating illumination compensator or rotating collection compensator, and a fixed analyzer; 회전하는 편광기, 회전하는 조명 보상기 또는 회전하는 수집 보상기, 및 고정된 분석기) 모드 및 RCRC(fixed polarizer, rotating illumination compensator, rotating collection compensator, and fixed analyzer; 고정된 편광기, 회전하는 조명 보상기, 회전하는 수집 보상기, 및 고정된 분석기) 모드를 포함할 수도 있다. 다른 모드는 RCE(fixed polarizer, rotating illumination compensator, and fixed analyzer; 고정된 편광기, 회전하는 조명 보상기, 및 고정된 분석기), RCRC(fixed polarizer, rotating illumination and collection compensators, and fixed analyzer; 고정된 편광기, 회전하는 조명 및 수집 보상기, 및 고정된 분석기), 또는 고정된 편광기 및 회전하는 분석기 조합을 포함할 수도 있다. 시스템은 조명 또는 수집 보상기 중 어느 하나를 포함할 수도 있거나, 또는 다른 시스템은 두 보상기 모두를 배제할 수도 있다.
본원에서 설명되는 임의의 시스템 실시형태는, 샘플이 4×4 매트릭스에 의해 설명되고, 매트릭스의 엘리먼트의 각각이 스펙트럼의 세트인 뮐러 타원편광 측정에 대해 구성가능할 수도 있다. 편광기(110), 분석기(122), 제1 보상기(112), 제2 보상기(120), 및 샘플(132)의 임의의 조합은 측정 동안 회전할 수 있다. 각각의 편광 생성 또는 분석 광학 엘리먼트도 또한 선택가능한 각 진동수에서 회전될 수도 있다. 상이한 구성은 상이한 수의 조화 스펙트럼을 생성하는데, 여기서 몇몇은 타겟의 뮐러 매트릭스를 완전히 정의하기에 충분한 수의 조화 스펙트럼을 생성한다. 뮐러 매트릭스 타원편광 측정을 수행하기 위한 다양한 기술은, Shankar Krishnan에 의해 2013년 5월 21일자로 발행된 발명의 명칭이 "Reconfigurable Spectroscopic Ellipsometer"인 미국 특허 제8,446,584호에서 더 설명되는데, 이 특허는 참조에 의해 그 전체가 본원에 통합된다.
편광기(110) 및 분석기(122) 사이에 위치되는 광학 엘리먼트는, 조명 광을 샘플을 향해 반사시키고 샘플로부터 출력 광을 수집하기 위한 반사성 엘리먼트일 수도 있다. 분광 타원편광 측정 툴에서의 반사성 광학 엘리먼트의 여러 상이한 배치는, Piwonka-Corle 등에 의해 1997년 3월 4일자로 발행된 미국 특허 5,608,526에서 더 설명되는데, 이 특허는 다양한 분광 타원편광 측정 피쳐의 다른 실시형태를 제공하는 목적을 위해 참조에 의해 본원에 통합되며, 그 다양한 분광 타원편광 측정 피쳐는, 광원, 조명 빔을 샘플 상으로 반사적으로 집속시키기 위한 광학 컴포넌트, 오토포커싱 컴포넌트, 편광기/보상기/분석기 구성 및 배치, 기준 조명 빔을 생성하고 검출하기 위한 기준 채널 컴포넌트, 제어 및 프로세서 메커니즘, 분광계/검출기 배치, 분광 광도계 시스템 컴포넌트 등등과 같은 것이고, 이들은 본원에서 설명되는 시스템 실시형태와 함께 활용될 수도 있다.
그 다음, 수집된 광은 검출기(124)에 의해 수광될 수 있다. 일 실시형태에서, 검출기는, 파장의 넓은 범위에 대해 충분히 높은 양자 효율성(quantum efficiency)을 갖는 분광계이다. 검출기 모듈은 분광계 슬릿, 상이한 파장을 검출기 또는 센서의 상이한 선형 부분을 따라 나누어지게 상이한 방향으로 굴절시키도록 구성되는 프리즘을 통해 출력 빔을 반사시키기 위한 하나 이상의 반사 미러를 포함할 수도 있다. 다른 검출기 모듈 배치도 또한 고려된다. 특정 실시형태에서, 검출기는, 다음의 UV 향상 컴포넌트 중 하나 이상을 포함할 수 있다: 약 190nm 내지 약 900nm의 파장 범위에 걸쳐 충분히 높은 양자 효율성을 갖는 전하 결합 소자(charged coupled device; CCD) 검출기, 약 700nm 내지 약 2000nm의 파장 범위에 걸쳐 충분히 높은 양자 효율성을 갖는 포토 다이오드 어레이, 약 150nm 내지 약 400nm의 파장 범위에 걸쳐 충분히 높은 양자 효율성을 갖는 포토 다이오드 어레이. 적절한 검출기는 전하 결합 소자(CCD), CCD 어레이, 시간 지연 통합(time delay integration; TDI) 센서, TDI 센서 어레이, 광전자 증배관(photomultiplier tubes; PMT), 및 다른 센서를 포함한다.
시스템(100)은 또한 컨트롤러(130)를 포함할 수도 있는데, 이것은 소프트웨어와 하드웨어의 임의의 적절한 조합을 포함하며 일반적으로 계측 시스템(100)의 다양한 컴포넌트를 제어하도록 구성된다. 예를 들면, 컨트롤러는, 광원(106)의 선택적 활성화, 조명 편광기 및 보상기 설정, 검출 보상기 및 분석기 설정, 조명 어퍼쳐/셔터 설정 등등을 제어할 수도 있다. 컨트롤러(130)는 또한, 검출기(124)에 의해 생성되는 신호 또는 이미지 데이터를 수신할 수도 있고 결과적으로 나타나는 신호 또는 이미지를 분석하여, 샘플 파라미터를 결정하는 것에 의해 타겟 또는 샘플의 특성을 묘사하도록, 또는 샘플 상에 결함이 존재하는지의 여부를 결정하도록, 또는 샘플 상에 존재하는 결함의 특성을 묘사하도록 구성될 수도 있다.
시스템(100)은 또한, 샘플 스테이지, 고정된 어퍼쳐/마스크, 셔터, 편광기, 분석기, 보상기 등등과 같은 다양한 가동 컴포넌트의 상이한 위치로의 회전, 틸트, 및/또는 병진 움직임을 위한 위치 결정 메커니즘(131)을 포함할 수도 있다. 예로서, 위치 결정 메커니즘(131)은, 스크류 구동 및 스테퍼 모터, 피드백 위치를 갖는 선형 구동, 밴드 액추에이터 및 스테퍼 모터 등등과 같은 하나 이상의 모터 메커니즘을 포함할 수도 있다.
시스템(100)뿐만 아니라 본원에서 설명되는 시스템은 또한, 진공 챔버를 질소 또는 진공 UV에서 작동하기에 적합한 임의의 다른 가스로 충전하기 위한 퍼지 시스템(purge system)을 포함하는 것이 바람직하다. 150 nm 동작의 경우, 예를 들면, 전체 광학 경로는 챔버로 둘러싸이고 이러한 챔버는 드라이 질소 가스로 충전된다. 예시적인 퍼지 시스템 및 기술은, (i) Nikoonahad 등에 의해 2003년 11월 19일자로 출원된, 공개 특허 번호 제2004/0150820호를 갖는 미국 특허 출원, 및 (ii) 2008년 1월 24일자로 출원된 미국 특허 제7,755,764호에서 더 설명되는데, 이들 출원 및 특허는 이러한 피쳐에 대해 참조에 의해 본원에 통합된다.
본원에서 설명되는 각각의 컨트롤러는, 결과 테스트 이미지 및 다른 계측 특성을 (예를 들면, 프로그래밍 명령어를 이용하여) 디스플레이하기 위한 유저 인터페이스를 (예를 들면, 컴퓨터 스크린 상에) 제공하도록 구성될 수도 있다. 컨트롤러는 또한, 입사광 또는 수집된 광의 파장 범위, AOI/AZ, 및 편광 상태와 같은 유저 레시피 입력뿐만 아니라, 검출 파라미터를 제공하기 위한 하나 이상의 입력 디바이스(예를 들면, 키보드, 마우스, 조이스틱)을 포함할 수도 있다. 컨트롤러는, 입/출력 포트 및 적절한 버스 또는 다른 통신 메커니즘을 통해 하나 이상의 메모리에 커플링되는 하나 이상의 프로세서를 구비할 수도 있다.
이러한 정보 및 프로그램 명령어가 특별하게 구성된 컴퓨터 시스템 상에서 구현될 수도 있기 때문에, 이러한 시스템은, 컴퓨터 판독가능 매체 상에 저장될 수 있는, 본원에서 설명되는 다양한 동작을 수행하기 위한 프로그램 명령어/컴퓨터 코드를 포함한다. 컴퓨터 판독가능 매체의 예는, 하드 디스크, 플로피 디스크, 및 자기 테이프와 같은 자기 매체; CD-ROM 디스크와 같은 광학 매체; 광학 디스크와 같은 광자기 매체; 및 프로그램 명령어를 저장 및 수행하도록 특별히 구성되는 하드웨어 디바이스, 예컨대 리드 온리 메모리 디바이스(read-only memory devices; ROM) 및 랜덤 액세스 메모리(random access memory; RAM)를 포함하지만, 이들로 한정되는 것은 아니다. 프로그램 명령어의 예는 컴파일러에 의해 생성되는 것과 같은 머신 코드, 및 인터프리터를 사용하여 컴퓨터에 의해 실행될 수도 있는 더 하이 레벨의 코드를 포함하는 파일 둘 다를 포함한다.
상기의 도면 및 설명은 시스템의 특정 컴포넌트에 대한 제한으로서 간주되지 않아야 한다는 것 및 시스템은 많은 다른 형태로 구체화될 수도 있다는 것을 유의해야 한다. 예를 들면, 샘플 상의 타겟 피쳐 및 속성을 결정하도록 배열되는 임의의 수 및 타입의 적절한 컴포넌트를 계측 툴이 포함할 수도 있다는 것이 고려된다. 예로서, 계측 툴은 VUV 내지 NIR에 대한 하나 이상의 컴포넌트, 분광 타원편광 측정, 반사 측정 또는 산란 측정을 포함할 수도 있다.
다른 예시적인 SE 툴 실시형태에서, 동시적 파장 및 AOI 또는 AZ 분해는 툴의 검출기 시스템으로 제공된다. 도 2는, 본 발명의 제2 실시형태에 따른, 다수의 AOI의 동시적 검출을 위한 SE 툴(200)의 개략적인 표현이다. 이 예에서, 상이한 AOI 또는 AZ에서 샘플(132)로부터 나가는(off) 광은 수집 광학장치(204)에 의해 수집되어 검출기 모듈(224)로 전송된다. 예시된 실시형태에서, 수집 광학장치(204)는, 수집된 광을 분산 광학장치 및 검출기 모듈(224)로 집속시키기 위한 수집 미러(226 및 118)를 포함한다. 대안적인 실시형태에서, 검출된 광은 불연속 AOI 또는 AZ로 공간적으로 분해된다.
파장 및 AOI/AZ를 평면 내의 두 개의 상이한 방향, 예컨대 직교하는 x 및 y 방향으로 매핑하기 위한 임의의 적절한 수집 측 메커니즘이 SE 툴로 통합될 수도 있다. 일반적으로, 시스템(200)은, 두 상이한 방향에 걸쳐 파장 및 AOI (또는 AZ)를 2D 검출기 상으로 매핑시키기 위한, 두 방향, 예컨대 x 및 y 방향에서 상이한 광학적 배율(예를 들면, 원통형 배율, 도넛형 배율 등등)을 갖는 수집 광학장치를 포함할 수도 있다. 도시되는 바와 같이, 분산 광학장치 및 검출기 모듈(224)은, AOI/AZ에 대한 하나의 축 및 파장에 대한 다른 축을 구비하는 2D분광계 기능(227로서 확대되어 도시됨)을 제공한다. 분산 광학장치는, 파장 방향에서, 검출기로 하여금 시야 조리개에 켤레인 평면에 또는 그 평면 근처에 있게 하는 배율을 갖도록 그리고 AOI 방향에서, 검출기를 동공 평면에 켤레인 평면에 또는 그 평면 근처에 있게 만드는 배율을 갖도록 구성될 수도 있다. 대안적으로, 이 예에서, AOI는 AZ로 대체될 수도 있다.
예시된 예에서, 분산 광학장치 및 검출기 모듈(224)은, 검출된 빔을 분광계 입구에서 수광하기 위한 그리고 광을 파장의 함수로서 제1 축으로(예를 들면, X 방향에서) 매핑하고 검출된 광을 AOI/AZ의 함수로서 제2 축으로(예를 들면, Y 에서) 매핑하는 검출기에 의해 수광되도록 그 빔을 컨디셔닝하기 위한 분산성 엘리먼트(dispersive element)를 포함한다. 즉, 분산성 엘리먼트는 검출된 광의 파장 성분을 제1 검출기 축 상으로 분산하고 검출된 광의 AOI/AZ 성분을, 예를 들면, 제1 축에 직교하는 제2 검출기 축 상으로 분산한다. 특정 구현예에서, 분산성 엘리먼트는, 점 초점을, 길이에서 개구수(NA)에 비례하는 선으로 변환하도록 구성되는 원통, 및 광을 스펙트럼으로 분산시키는 분산성 엘리먼트를 포함한다. NA는 수집된 AOI/AZ에 관련된다.
검출기는, 두 방향에서 분산된 광을 검출하기 위한 임의의 적절한 검출 메커니즘, 예컨대 본원에서 설명되는 바와 같이 가변하는 파장, AOI, 및 AZ 범위에서 광을 감지하기 위한 CCD를 포함할 수도 있다. 검출기는, 선택된 픽셀로부터의 데이터에 대한 임의의 적절한 수의 시프트 레지스터를 포함할 수도 있다. 예를 들면, 검출기는, 두 개의 상이한 AOI로부터 샘플로부터 방출되어 나오는 광의 병렬 프로세싱을 위한 두 개의 시프트 레지스터를 포함할 수도 있다. 다른 예에서, 검출기는, 두 개보다 많은 상이한 AOI로부터 샘플로부터 방출되어 나오는 광의 병렬 프로세싱을 위한 두 개보다 많은 시프트 레지스터를 구비할 수도 있다. 또 다른 예에서, 검출기는, 더 빠른 판독을 위해 AOI 방향에 대해 시프트 레지스터마다 픽셀의 하나만큼 적은 로우(row)를 갖는다.
시스템(200)은 또한, 시스템(200)의 컴포넌트 중 임의의 것을 제어하도록 구성되는 컨트롤러(230)를 포함할 수도 있다. 예를 들면, 컨트롤러(230)는, 하나 이상의 광원(106)의 파장, 편광기(110), 조명 보상기(112), 분석기(122), 및 수집 보상기(120) 등등의 각 진동수 및/또는 방위각 및 타이밍을 선택하도록 구성된다. 컨트롤러(230)는 또한, 검출기에 의해 생성되는 신호 또는 이미지 데이터를 수신할 수도 있고 결과적으로 나타나는 신호 또는 이미지를 분석하여, 샘플 파라미터를 결정하는 것에 의해 샘플의 특성을 묘사하도록, 또는 샘플 상에 결함이 존재하는지의 여부를 결정하도록, 또는 샘플 상에 존재하는 결함의 특성을 묘사하도록 구성될 수도 있다. 시스템(200)은 또한, 샘플 스테이지, 고정된 어퍼쳐/마스크, 셔터, 편광기, 분석기, 보상기 등등과 같은 다양한 가동 컴포넌트의 상이한 위치로의 회전, 틸트, 및/또는 병진 움직임을 위한 위치 결정 메커니즘(231)을 포함할 수도 있다.
소정의 실시형태에서, 검출된 광은 상이한 AOI, AZ, 및 파장에 대응하는 디지털 데이터로 변환될 수 있고, 이 데이터는 AOI, AZ, 및 파장(뿐만 아니라 편광 상태)의 함수로서 독립적으로 분석될 수 있다. 검출된 광에 대응하는 데이터는, 상이한 AOI/AZ 및/또는 파장에 대응하는 검출기의 분리된 영역에 대응하도록, 분할될 수도 있고, 그 다음 이러한 분리된 데이터는 AOI, AZ, 및 파장(뿐만 아니라 편광 상태)의 함수로서 분석될 수 있다. 소정의 실시형태는 상이한 AOI로부터 광 신호를 동시에 획득하여 프로세싱하는 것에 의해 향상된 측정 스루풋을 허용한다. 증가된 조명 NA는, 샘플의 타겟 영역 상에서의 굴절 제한 스팟 사이즈(diffraction limited spot size)를 감소시키는 것에 의해, 타겟 사이즈가 감소되는 것을 허용할 것이다. 대안적으로 그 사이즈가 감소되지 않은 타겟의 경우, 이 증가된 NA는, 주변 지역으로부터 유입하는 검출된 광에 대한, 타겟 지역 내에서부터 유입하는 검출된 광의 비율을 증가시켜, 신호 오염을 감소시키게 된다.
도 3a는, 본 발명의 특정 구현예에 따른, 다수의 검출기에서 다수의 AOI 영역을 동시에 수집하기 위한 SE 툴(300)의 단순화된 개략적 예이다. 도시되는 바와 같이, 툴(300)은 복수의 파장에서 광을 제공하기 위한 광원(106) 및 샘플(132)에 지향되는 조명 빔에게 상이한 편광 상태를 제공하기 위한 타원편광 측정기 조명 광학장치(302)를 포함한다. 타원편광 측정기 조명 광학장치(302)는 또한, 다수의 AOI에서 조명 빔을 지향시키도록 구성될 수도 있고, 또한 다수의 AZ에서 광을 지향시키도록 구성될 수도 있다. 툴(300)은 또한, 다수의 AOI(및 AZ) 및 다수의 편광 상태에서 광을 수집하기 위한 수집 광학장치(304)를 포함할 수도 있다. 광원(106), 타원편광 측정기 조명 광학장치(302), 및 타원편광 측정기 수집 광학장치(304)는, 본원에서 설명되는 다양한 조명 및 수집 컴포넌트 중 임의의 것에 대응할 수도 있다.
툴(300)의 수집 측은 또한, 수집된 광을 파장 및 AOI에 따라 두 방향에서 분산시키기 위한 파장 및 AOI 분산 광학장치(AOI dispersing optic; 306)를 포함할 수도 있다. 도시되는 바와 같이, 평면 1(308)에서의 분산 결과(309)는, 제1 수직축 상에서 세 개의 상이한 AOI 영역(AOI 영역 1, AOI 영역 2, 및 AOI 영역 3)을 그리고 제2 수평축 상에서 모든 AOI 영역에 걸친 파장 분산을 포함하는 것으로 예시되어 있다. 물론, 분산 광학장치(306)에 의해 임의의 적절한 수의 AOI 영역이 정의될 수도 있다. 분산 광학장치(306)는, 본원에서 설명되는 바와 같이 두 개의 상이한 방향에서의 AOI(및/또는 AZ) 및 파장 분산을 위해 상이한 광학적 배율(예를 들면, 원통형 배율, 도넛형 배율 등등)을 갖도록 구성될 수도 있다.
툴(300)은 또한, AOI(또는 AZ)에서 분산된 빔을 분산 광학장치(306)에 의해 상이한 AOI 영역으로 분할하기 위한 AOI 세분화 광학장치(310)를 포함할 수도 있다. AOI 세분화 광학장치는 또한, 각각의 AOI 영역을, 하나의 AOI(또는 AZ) 범위에 걸쳐 통합하는 파장을 분해하는 개개의 검출기(예를 들면, 314a, 314b, 314c)) 상으로 지향시키도록 구성될 수도 있다. AOI(또는 AZ) 및 파장 분해의 평면이 공간에서 충분히 분리되지 않으면, 파장 분해된 평면을 각각의 검출기 상으로 재이미지화하기 위해, 이들 평면 사이에 재이미지화 광학장치(312)가 위치될 수도 있다. 각각의 검출기는 190 nm 내지 약 900 nm의 파장에 걸쳐 검출하도록 구성될 수도 있고, 모든 검출기는 동시에 판독되도록 구성될 수도 있다. 광학장치(306, 310, 및 312) 및 검출기(314)는 또한, 범위 150-2000 nm에서 파장을 수집 및/또는 검출하도록 구성될 수도 있다. 예를 들면, Si계 검출기는 약 1000 nm 미만의 파장에 대해 사용될 수도 있고, 한편 InGaAs계 검출기는 약 800 nm보다 더 큰 파장에 대해 사용될 수도 있다. 이 SE 실시형태는, 상이한 AOI/AZ로부터의 광 신호의 동시적 획득 및 프로세싱을 허용한다.
시스템(300)은 또한, 다양한 컴포넌트를 제어하도록 그리고 검출된 데이터를 분석하도록 구성되는 컨트롤러(330)를 포함할 수도 있다. 컨트롤러(330)는, 예를 들면, 도 2의 컨트롤러(230)와 유사할 수도 있다. 추가적으로, 컨트롤러(330)는 다수의 검출기를 제어하도록 그리고 이러한 검출기에 의해 획득되는 이미지 및 신호를 분석하도록 구성될 수도 있다. 시스템(300)은 또한, 가동 컴포넌트 중 임의의 것의 병진, 회전, 또는 틸트 움직임을 위한 위치 결정 메커니즘(331)을 포함할 수도 있는데, 도 2의 위치 결정 메커니즘에 다수의 검출기의 선택적 위치 결정을 추가한 것과 유사하다.
대안적인 다수의 AOI 시스템은 선택된 AOI에 대한 어퍼쳐를 단일의 검출기에서 포함할 수도 있다. 도 3b는, 본 발명의 다른 특정 구현예에 따른, 다수의 AOI 사이에서부터 AOI 범위를 선택하기 위한 구성가능한 AOI 마스크(351)를 구비하는 SE 툴(350)의 단순화된 개략적인 제2 예이다. 도시되는 바와 같이, 파장 및 AOI(및/또는 AZ) 분산 광학장치(348)는 여전히, AOI(또는 AZ) 및 파장을 두 개의 상이한 방향(예를 들면, 직교)으로 분산하도록 구성되지만, 파장은 AOI/AZ 분산의 평면 이전에 위치되는 평면에서 분산된다. 즉, 도 3b의 AOI 분산 광학장치(348)는 도 3a와 관련하여 설명되는 AOI 분산 광학장치와 유사하게 동작할 수도 있지만, AOI/AZ 분산과 동일한 평면에서 파장을 분산하지는 않을 수도 있다.
분산 광학장치(348)에 의해 그 상으로 AOI가 분산되는 평면에 AOI 마스크(351)가 위치될 수도 있고, 이 AOI 마스크는 상이한 AOI 영역을 검출기(352)로 선택적으로 송신하도록 구성가능할 수도 있다. 예를 들면, AOI 마스크(351)는 한번에 AOI/AZ 영역 상의 샘플로부터 AOI/AZ 영역을 선택하기 위한 메커니즘을 제공한다. 뷰(354)는 AOI 영역 선택을 도시한다. 이 뷰(354)에서, AOI 영역 2는 AOI 마스크(351)의 어퍼쳐를 통해 검출기(352)로 선택적으로 송신되고, 한편 AOI 영역 1 및 AOI 영역 3은, 광 투과에 대해 불투명한 AOI 마스크(351)의 마스크 부분에 의해 차단된다.
AOI 마스크(351)는 특정한 AOI 영역을 선택하기 위한 임의의 적절한 형태를 취할 수도 있다. 예를 들면, AOI 마스크(351)(또는, 어퍼쳐)는, 상이한 AOI 영역을 선택하기 위한 셔터를 각각 포함하는 복수의 고정된 어퍼쳐를 포함할 수도 있거나 또는 단일의 가동 어퍼쳐(도시되지 않음)를 포함할 수도 있다. 다수의 고정된 어퍼쳐 예에서, 각각의 어퍼쳐는, 본원에서 더 설명되는 바와 같이 샘플의 상이한 AOI 영역을 분해하도록, 공간적으로 분리된다.
검출기(352)(및 314)는, 상기에서 설명되는 2D 검출기, 또는 선형 포토다이오드 어레이와 같이, (파장에 대해) 적어도 한 방향을 따라 검출하는 임의의 적절한 형태를 취할 수도 있다. 검출기(352)(및 314)는 파장 분해 평면에 위치되는 것이 바람직하다. 검출기(352)는, 광감지 지역의 폭에 의해 또는 검출기 디바이스에 통합되는 마스크에 의해 정의되는 마스크(351)를 포함할 수도 있다. 이 경우, 분산 광학장치(348)는 AOI/AZ 분산과 동일한 평면에서 파장을 분산한다. 또한, 이 경우, 검출기(352)는 AOI 영역 선택을 위해 마스크(351) 쪽으로 유사하게 이동될 것이다.
시스템(350)은 또한 컨트롤러(360) 및 위치 결정 메커니즘(361)을 포함할 수도 있는데, 이들은, AOI 마스크(351) 및/또는 검출기(352)를 제어하거나 이동시키는 것을 추가한, 본원에서 설명되는 컨트롤러 및/또는 위치 결정 메커니즘 중 임의의 것과 유사한 기능을 가지고 구성된다.
샘플 상으로의 다수의 AOI 조명 및 조명 및 수집 광학 경로를 이동시키지 않으면서 한 번에 하나씩의 또는 분리된 검출기 상으로의 AOI 영역의 선택적 수집을 제공하는 SE 계측 툴, 예컨대 도 1, 도 2 및 도 3a의 시스템은, 동공 및 시야 조리개가 각각의 AOI 측정에 대해 변하는 시스템과 비교하여, 고정된 조명 및 수집 동공 및 고정된 조명 및 수집 시야 조리개로 인해 더 나은 측정 반복성 및 신뢰성을 제공할 수 있다. 가동 시야 조리개를 갖는 시스템 실시형태, 예컨대 도 3b의 시스템은, 수집 미러 근처에 있는 이동하는 어퍼쳐를 구비하는 시스템과 비교하여, 검출기 근처에서 더 작은 거리의 어퍼쳐의 움직임을 제공하여 더 빠른 스루풋을 달성하고, 그리고, 이동하는 어퍼쳐가 없는 시스템과 비교하여, 관련 비용의 불안정성을 제공할 수 있다. 고정된 이미징 경로를 갖는 모든 실시형태는, 이미징 광학장치를 이동시키는 것에 의해 AOI 분해를 달성하는 시스템에 비해 스루풋 이점을 갖는다.
다른 예에서, 다수의 AOI 영역을 동시에 수집하기 위해, 단일의 2D 검출기가 또한 사용될 수 있다. 도 3c는, 대안적인 실시형태에 따른, 단일의 검출기(370) 상에서의 다수의 AOI/AZ 영역의 동시적 수집을 예시한다. 이 예에서, 검출기는, 동시에 검출되고 그 다음 개별적으로 분석될 수도 있는 공간적으로 분리된 AOI 영역에 대응하는 복수의 AOI 영역, 예를 들면, 372a, 372b, 및 372c으로 분할된다. 검출기 AOI 영역은, 분석되지 않은 광학적으로 비활성 픽셀 또는 신호 부분에 대응하는 광학적으로 비활성 영역, 예를 들면, 374a 및 374b에 의해 공간적으로 분리될 수 있다.
분산 실시형태 중 임의의 것에서, AOI 및 AZ 분산 둘 다는, 빔 스플리터를 사용하여 빔을 두 개의 2D 검출기로 전송하는 것에 의해 동시에 발생할 수도 있는데, 두 개의 2D 검출기 중 하나는 파장에 대해 구성되고 나머지 하나는 파장 및 AZ 분산에 대해 구성된다. 도 3d는, 일 실시형태에 따른, 분산된 AOI 및 AZ를 동시에 수집하기 위한 수집 측 광학장치(380)의 개략적인 표현이다. 도시되는 바와 같이, 타원편광 측정기 수집 광학장치(382)는 샘플로부터 다수의 AOI 및 AZ에서 광을 수집하고 이러한 출력을 스플리터(384)로 지향시킬 수도 있다. 스플리터는 출력 광을 파장 및 AOI 분산 광학장치(386) 및 파장 및 AZ 광학 장치(380)로 지향시킨다.
AOI 분산 광학장치(386)는 동일한 또는 상이한 평면에서 두 방향을 따라 파장 및 AOI를 분산시키도록 구성된다. 분산된 파장 및 AOI는 파장 및 AOI 검출기 모듈(388)에 의해 받아들여지는데, 파장 및 AOI 검출기 모듈(388)은 상기에서 설명되는 바와 같이 분산된 파장을 각각 구비하는 공간적으로 분리된 AOI 영역을 동시에 또는 순차적으로 검출하도록 구성될 수도 있다. 마찬가지로, 분산된 파장 및 AZ는 파장 및 AZ 검출기 모듈(390)에 의해 받아들여질 수도 있는데, 파장 및 AZ 검출기 모듈(390)은 분산된 파장을 각각 구비하는 공간적으로 분리된 AZ 영역을 동시에 또는 순차적으로 검출하도록 구성된다.
도 4a는, 본 발명의 다른 실시형태에 따른, 단일의 또는 복수의 아포다이저(409 및/또는 405)를 갖는 구성가능한 SE 툴(400)의 개략적인 표현이다. 일 배치에서, 조명 빔을 성형하도록 그리고 모든 선택된 AOI(또는 AZ)에 대한 샘플(132)에서의 초점의 점상 강도 분포 함수를 제어하도록 구성되는 조명 동공에 또는 조명 동공 근처에, 단일의 이동하지 않는 아포다이저(409)가 위치된다. 다른 배치에서는, 하나 이상의 가동 아포다이저(409)가 조명 동공 안팎으로, 예컨대 방향(410)으로 이동될 수도 있으며, 일반적으로는 조명 빔을 성형하도록 그리고 상이한 선택된 AOI(또는 AZ)에 대한 샘플(132)에서의 초점의 점상 강도 분포 함수를 제어하도록 구성된다. 예를 들면, 각각의 선택된 아포다이저는 특정 세트의 AOI(또는 AZ)에 대한 스팟 사이즈를 제어하도록 구성될 수도 있다. 특정 예에서, 각각의 아포다이저는 특정 AOI에 대해, 스팟의 중심으로부터 25미크론보다 더 먼 스팟 위치에 대한 복사조도 레벨이, 스팟의 중심에서의 피크 복사조도의 10-3보다 더 작도록, 조명 광을 성형하도록 구성된다. 예를 들면, 각각의 선택된 아포다이저는, 특정한 선택된 AOI(또는 AZ)에서 측정된 신호에서 오염을 감소시키기 위해, 사이드 로브를 억제하도록 그리고 샘플에서의 측정 박스 사이즈를 향상시키도록 구성될 수도 있다.
다른 실시형태에서, 하나 이상의 수집 아포다이저(405)는 수집 동공에 켤레인 평면에 있는 또는 그 평면 근처에 있는 위치에 위치되거나 또는 그 위치로 이동가능할 수도 있다. 이러한 수집 측 아포다이저는 AOI 및/또는 AZ의 불연속 범위 전체에 대응하는 미리 정의된 수집 프로파일을 제공할 수도 있다. 수집 측 아포다이저는 경계가 뚜렷한(hard-edged) 수집 어퍼쳐로부터의 굴절 사이드 로브를 제어할 수도 있다. 예를 들면, 이러한 수집 아포다이저는, 조명 아포다이저가 웨이퍼 샘플에서 강도 분포를 성형하는 것과 유사한 방식으로 분광계 슬릿에 입사하는 강도 분포를 성형하도록 구성될 수도 있다. 이러한 수집 아포다이저는 또한, 측정 박스 외부로부터의 오염을 감소시키도록 그리고 분광계에서의 스팟의 미세하게 세분화된 제어를 제공하도록 구성될 수 있을 것이다.
예시된 예에서, 상이한 AOI 수집을 위해 상이한 수집 어퍼쳐가 사용되며 따라서 슬릿에서의 스팟은 상이한 AOI에 대해 상이할 것이지만, 한편 슬릿은 각각의 AOI 범위에 대해 동일하다. 이 배치에서, 사용되고 있는 특정 AOI에 대해 구성되는 수집 아포다이저는 특정 AOI에 대한 스팟을 조정하는 데 도움이 될 것이다. 이 수집 아포다이저는 수집 어퍼쳐의 평면에 또는 그 평면 근처에 위치되는 것이 바람직하지만, 아포다이저가 하류쪽에 위치될 수 있을 것이다는 것도 또한 생각될 수 있다. 특정 구현예에서, 아포다이저의 수는 수집 어퍼쳐의 수에 대응하며, 선택된 아포다이저는 수집 경로 안으로, 예를 들면, 방향(411)으로 이동될 수 있다. 대안적으로, 동적으로 구성가능한 단일의 아포다이저가 사용되어 수집 경로에 위치될 수도 있다.
아포다이저는, (예를 들면, 조명 빔의 진폭 및/또는 위상을 변경하기 위한 마스크를 사용하여) 광학 시스템의 입사 동공에서의 광 분포를 변경하고 그로 인해 조명 빔의 강도 프로파일을 변경하는 것으로 일반적으로 정의될 수 있다. 현 경우에서, 각각의 아포다이저는 조명 스팟의 "테일(tail)"(예를 들면, 조명 스팟의 중심에서부터 25미크론보다 더 큰 조명 스팟의 부분)에서의 복사조도를 피크 복사조도의 10-3 미만까지 감소시키고 그에 따라 신호 오염을 감소하도록 구성될 수도 있다. 이러한 아포다이저를 본원에서 설명되는 계측 시스템의 임의의 것에 포함시키는 것은, 상대적으로 작은 타겟에 대해 상대적으로 작은 스팟 사이즈를 사용하는 계측을 가능하게 할 수도 있는 피쳐 중 하나이다.
투과형 아포다이저, 예컨대 용융 실리카는 약 170 nm까지의 파장에 대해 동작할 수도 있다. 일반적으로, 아포다이저는, 193 nm에 대해 최적화되는 표준 리소그래피 레티클/마스크를 사용하여 제조될 수도 있다. 반사성 아포다이저도 또한 고려된다.
일반적으로, 각각의 아포다이저 설계는 각각의 특정 세트의 선택가능한 조명 측 AOI(또는 AZ)에 대해 맞춤되고 AOI(또는 AZ)의 각각의 특정한 세트에 대한 스팟 사이즈를 제어하도록 조명 빔 경로 안으로 이동가능하다. 즉, 이들 아포다이저 실시형태 각각은 재구성될 수 없는 광학적 기능을 소유할 수도 있다. 또한, 아포다이저(409)의 세트는 테스트 중인 특정 타겟에 대해 또한 구성되는 아포다이저를 포함할 수도 있다. 예를 들면, 동일한 AOI를 사용하더라도, 상이한 조명 진폭 프로파일이 달성될 수도 있다. 도 4a의 시스템 외에, 본원에서 설명되는 시스템 실시형태 중 임의의 것은 또한, 구성가능한 아포다이저를 포함할 수도 있다.
도 4a의 시스템(400)은 또한, 샘플(132) 상으로 상이한 AOI를 통해 조명 빔을 주사하기 위한 주사 미러 장치, 예컨대 주사 미러(407)를 포함할 수도 있다. 주사 미러는 샘플(132)에 켤레인 평면에 또는 그 평면 근처에 있는 것이 바람직하다. 주사 미러(407)는 편광기 슬릿을 대체할 수도 있거나 또는 편광기 슬릿에 켤레일 수도 있다. 주사 미러가 편광기 슬릿을 대체하면, 주사 미러는 조명 시야 조리개를 정의하기 위한 마스크를 포함할 수도 있다. 주사 미러가 편광기 슬릿에 켤레이면, 편광기 슬릿과 주사 미러 사이에 추가적인 이미징 광학장치가 존재할 수도 있다. 주사 미러(407)는, 상이한 AOI(또는 AZ)에서 조명 빔을 선택적으로 주사하기 위해, (예를 들면, 위에서 설명된 것과 같은) 가동의 고정된 어퍼쳐를 대체할 수도 있다. 주사 미러(407)는, 특정한 AOI(또는 AZ)를 한 번에 하나씩 선택하기 위해, 임의의 적절한 위치 결정 메커니즘에 의해 구성가능하게 이동(예를 들면, 병진, 틸트, 또는 회전)될 수도 있다. 예시된 예에서, 주사 미러(407)는 방향(408)으로 틸팅하고 따라서 조명 빔은 AOI(또는 AZ)의 특정 범위를 통해 주사되게 된다. 즉, 주사 미러(407)는 조명으로 하여금, 샘플(132)에서의 조명 스팟을 이동하지 않으면서 동공 평면에서의 상이한 AOI 위치를 따라 나아가게 한다.
주사 미러(407)는 파장의 넓은 범위와 작동하기 위해 반사성이다. 반사성 주사 미러(407)는 조명 빔이, 반사성 광학 엘리먼트를 요구하는 VUV 광을 포함하는 파장의 넓은 범위를 갖는 것을 허용한다.
주사 미러(407)로부터 반사되는 주사된 조명 빔을 지향시키기 위해, 고정 미러(406)가 사용될 수도 있다. 대안적으로, 샘플(132)을 향하는 상이한 AOI를 지향시키기 위해, 다수의 미러가 사용될 수도 있다.
조명 광학장치(402)는 조명 광을 상이한 AOI(또는 AZ)에서 샘플(132) 상에 최적으로 지향시키도록 구성될 수도 있다. 예를 들면, 미러(414 및 416)는 AOI(또는 AZ)의 특정 세트로부터의 조명 빔을 샘플(132) 상으로 지향시키고 집속시킨다. 일 예에서, 미러(414 및 416)는 50°에서부터 80°까지의 광 전체를 샘플(132) 상으로 지향시키도록 사이즈가 정해진다.
다른 실시형태와 마찬가지로, 고정된 또는 가동 어퍼쳐(126) 및/또는 셔터의 배치는 상이한 AOI(또는 AZ)에서의 광을 선택적으로 수집하기 위해 사용될 수도 있다. 어퍼쳐/셔터(126)는, 필요하면, AOI를 한 번에 하나씩 선택하도록 구성가능할 수도 있다.
조명 광학장치(402) 및 수집 광학장치(404)는 상이한 편광 상태를 생성 및/또는 수집하기 위한 컴포넌트(예를 들면, 편광기(110), 보상기(112 및 120), 및 분석기(122))를 포함할 수도 있다.
컨트롤러(430) 및/또는 위치 결정 메커니즘(461)은 시스템(400)의 컴포넌트 중 임의의 것을 제어하도록 구성될 수도 있다. 예를 들면, 컨트롤러(430) 및/또는 위치 결정 메커니즘(461)은, 하나 이상의 광원(106)의 파장, 방향(408)에서의 주사 미러(407)의 틸트 위치, 편광기(110), 조명 보상기(112), 수집 보상기(120), 및 분석기(122)의 각 진동수 및/또는 방위각 및 타이밍, 각각의 아포다이저(409)의 위치, 조명 및/또는 수집 셔터에 대한 설정, 가동 어퍼쳐의 위치 등등을 선택하도록 구성된다.
본원에서 설명되는 실시형태의 대부분에서는, 사이드 로브를 억제하기 위해 그리고 웨이퍼 평면에서의 측정 박스를 향상시키기 위해 그리고 측정된 신호에서의 오염을 감소시키기 위해, 조명 경로에 진폭 아포다이저가 사용될 수도 있다. 단일의 구성가능한 아포다이저 또는 가동 아포다이저의 세트가 AOI(또는 AZ)의 특정한 세트에 대한 적절한 진폭 아포다이제이션을 제공할 수 있지만, 이러한 아포다이저 시스템은 아포다이저 패턴을 변경하도록 쉽게 변경되지 않을 수도 있다. 추가적으로, 이 배치는 느린 스위칭 및 하드웨어 반복성 이슈와 관련될 수도 있다. 대안적인 아포다이제이션 실시형태에서는, 필요에 따라 아포다이저 패턴을 동적으로 형성하기 위해, 동적으로 구성가능한 공간적 광 변조기(SLM)가 사용될 수도 있다. 예를 들면, MEMS SLM 기술에 기반한 가변 아포다이저는, 시스템의 정렬에 영향을 주지 않으면서, 아주 빨리 스위칭될 수 있다.
도 4b는, 본 발명의 대안적인 실시형태에 따른, 동적으로 조정가능한 아포다이저를 갖는 구성가능한 SE 툴(450)의 개략적인 표현이다. 이 시스템은, 조명 및 수집 광학 장치의 각각의 특정한 선택된 AOI, AZ 및 NA에 대해 아포다이징 패턴이 동적으로 형성되는 조명 경로에, 반사성의 동적으로 구성가능한 아포다이저(456)를 포함한다. 이 아포다이저(456)는, VUV 내지 UV를 포함하는 광대역 범위와 작동하기 위해서는 반사성인 것이 바람직하다. 도시되는 바와 같이, 아포다이저(456)는 조명 슬릿(458)을 통해 회전하는 보상기(454)로부터 조명 광(452)을 수광하도록 배열될 수도 있다.
상기에서 설명되는 바와 같이, 다양한 조명 메커니즘은 특정한 AOI(및 AZ)를 선택하기 위해 사용될 수도 있고 다양한 수집 메커니즘은 특정한 AOI(및 AZ)를 수집하기 위해 사용될 수도 있다. 아포다이저(456)는, 조명 및 수집 광학 장치의 선택된 AOI(및 AZ)에 기초하여 조명 광의 진폭 및/또는 위상을 동적으로 조정하도록 구성된다. 소정의 실시형태에서, 조명 빔은 주사 미러 및/또는 하나 이상의 고정된 또는 가동 어퍼쳐 및/또는 셔터를 통해 통과될 수도 있는데, 이들은, 아포다이저(456)에 도달하기 이전에, 하나 이상의 공간적으로 분리된 AOI(AZ)를 선택하도록 구성된다. 대안적으로, 아포다이저(456)는 이러한 AOI(또는 AZ) 선택 메커니즘 앞에 위치된다. 아포다이저(456)는 동공 평면에 또는 동공 평면 근처에 위치되는 것이 바람직하다. 대안적으로, 아포다이저(456)는 수집 동공에 또는 수집 동공 근처에 위치될 수 있다. 대안적으로, 아포다이저(456)는 조명 및 수집 동공에 또는 조명 및 수집 동공 근처에 위치될 수 있다. 수집 측 아포다이저 구성은, 예를 들면, 검출기 슬릿에서의 스팟 형상을 제어할 수 있다. 이 타입의 아포다이제이션은, 검출기에 의해 받아들여지는 박스 밖 오염(outside-of-the-box contamination)을 감소시킬 수도 있고 또한 검출기의 향상된 분해(또는 PSF)를 가질 수도 있다. 추가적으로 또는 대안적으로, 상이한 AOI(또는 AZ)는 고정된 또는 가동 어퍼쳐 및/또는 셔터 등등에 의해 수집될 수도 있다.
특정 구현예에서, 아포다이저(456)는, 아포다이저(456)의 지역에 걸친 진폭 반사율 분포를 제어하도록 구성되는 공간적 광 변조기(SLM)로부터 형성된다. 하나의 적절한 SLM은 마이크로 전기 기계 시스템(micro-electro-mechanical systems; MEMS)이다. 예시적인 SLM 타입의 디바이스는, 미국 텍사스주 달라스(Dallas)의 Texas Instruments로부터 입수가능한 DLP(digital light processing; 디지털 라이트 프로세싱) 및 독일 뮌헨(Munich)의 Fraunhofer Institute로부터의 SLM 디바이스를 포함한다.
DLP 디바이스의 경우에서와 같이, 아포다이제이션 패턴은 이진 진폭 패턴일 수도 있는데, 이 경우 유효(연속하는) 반사율 패턴은 다수의 픽셀에 걸쳐 통합하는 것에 의해 획득된다. 광을 조명 광학장치 안으로 반사하는 로컬 영역에서의 픽셀의 비율은 소망의 로컬 아포다이제이션 레벨을 부여한다. 조명 광학장치로부터 반사되어 나오는 광을 차단하기 위해 그리고 DLP SLM의 주기적 구조로부터의 회절을 필터링해 내기 위해, DLP SLM으로부터의 하류에 공간적 필터링 어퍼쳐가 사용될 수도 있다. 이 어퍼쳐는 포커싱 광학장치 자체의 어퍼쳐 안으로 통합될 수도 있다.
다른 SLM 구현예에서, 아포다이징 패턴은 연속적으로 변할 수도 있다. 그러나, 연속적으로 변하는 진폭 분포는, SLM에 의해 생성되는 상 분포에서의 패턴을 인코딩하는 것에 의해 달성될 수도 있다. 결과적으로 나타나는 소망의 진폭 패턴을 얻기 위해, 광은 어퍼쳐를 사용하여 푸리에(Fourier) 필터링처리 되어야만 하는데, 이것은 시스템의 집속 광학장치에 통합될 수도 있다.
시스템(450)은 또한, 컨트롤러 및/또는 위치 결정 메커니즘(도시되지 않음)을 포함할 수도 있는데, 상기 설명된 컨트롤러 및/또는 위치 결정 메커니즘의 임의의 것에 아포다이저(456)의 제어를 추가한 것과 유사하다.
본원에서 설명되는 소정의 실시형태에서, 고정된 또는 가동 어퍼쳐는, 샘플을 향해 지향되는 조명 빔 또는 샘플로부터 수집되는 수집된 빔에서 특정한 AOI(또는 AZ)를 선택하기 위해 사용될 수도 있다. 도 5a는, 일 구현예에 따른, 예시적인 어퍼쳐 시스템(500)의 개략적인 측면도이다. 도시되는 바와 같이, 어퍼쳐 시스템(500)은, 상부에 마스크가 형성되는 반사성 기판(502)을 포함할 수도 있다. 마스크는, 내부에 홀/비아(예를 들면, 506a, 506b, 및 506c)가 형성되어 있는 흡수성 또는 비반사성 영역(예를 들면, 504a, 504b, 및504c)으로부터 형성된다. 예시적인 흡수성 또는 비반사성 재료는 금속 시트(sheet) 또는 박(foil) 재료, 예컨대 스테인리스 스틸 또는 알루미늄, 및 블랙 아노다이징 재료(black anodized materials)를 포함할 수도 있다. 이들 홀은 투명한 재료로 채워질 수도 있거나 또는 채워지지 않은 채 남겨질 수도 있다. 셔터(예를 들면, 508a, 508b, 및 508c)는 각각의 마스크 어퍼쳐(예를 들면, 506a, 506b, 또는 506c) 위에 위치되거나 또는 부착될 수도 있다. 전체 어퍼쳐 시스템(500)은 또한, 본원에서 더 설명되는 바와 같이 조명 또는 수집 경로에 어퍼쳐를 위치시키기 위해, 예를 들면, 방향(514)으로 이동가능할 수도 있다.
셔터는 입사광이 특정한 AOI(또는 AZ)에서 반사되는 것을 허용하기 위해 열리거나 또는 닫힐 수도 있다. 도시되는 바와 같이, 셔터(508b)는 광선(510b)을 차단하기 위해 닫히고, 한편 셔터(508a 및 508b)는, 반사성 기판(502)으로부터, 각각, 광선(510a)이 제1 선택된 AOI(또는 AZ)에서 광선(512a)으로서 반사되는 것을 그리고 광선(510c)이 제2 선택된 AOI(또는 AZ)에서 광선(512c)으로서 반사되는 것을 허용하도록 열린다.
도 5b는, 제2 구현예에 따른, 예시적인 어퍼쳐 시스템(550)의 개략적인 측면도이다. 도시된 바와 같이, 어퍼쳐 시스템(550)은 반사성 기판(552)을 포함할 수 있다. 이 어퍼쳐 시스템(550)은 셔터를 포함하지 않으며, 흡수성 또는 비반사성 마스크 재료(예를 들면, 556a, 556b, 및 556c)에 형성되는 고정된 어퍼쳐(예를 들면, 554a, 554b, 및 554c)를 위치시키도록 방향(564)을 따라 이동가능하다. 어퍼쳐는 특정한 AOI(또는 AZ) 위치에서 조명 또는 수집 경로에 위치될 수 있다. 도시되는 바와 같이, 광선(560a)은 제1 선택된 AOI에서 광선(562a)으로서 반사되고; 광선(560b)은 제2 선택된 AOI에서 광선(562b)으로서 반사되며; 광선(560c)은 제3 선택된 AOI에서 광선(562c)으로서 반사된다.
시스템 실시형태 중 임의의 것은, 본원에서 설명되는 바와 같이 특정한 세트의 AOI(또는 AZ)를 선택하기 위해 복수의 동공 위치의 각각에 어퍼쳐를 선택적으로 적용하기 위한 투과성 조명 선택기를 포함할 수도 있다. 그러나, 이 조명 선택기는, 반사되는 것과는 반대로 투과될 수 있는 파장에 대해서만 적용될 수도 있다. 일반적으로, 조명 선택기는 광선 번들이 동공의 각각의 위치를 개별적으로 통과하는 것 및 AOI/AZ의 개별적인 범위로 나타나는 것을 허용하도록 구성된다. 도 6a는, 본 발명의 일 실시형태에 따른, 조명 선택기의 개략적인 사시도이다. 이 예에서, 조명 선택기는 세 개의 어퍼쳐 디스크(602, 604, 및 606)를 포함한다. 각각의 어퍼쳐 디스크는 복수의 상이한 어퍼쳐 구성(예를 들면, 디스크(602)에 대한 어퍼쳐 구성(608a 및 608b), 디스크(604)에 대한 어퍼쳐 구성(610a), 디스크(606)에 대한 어퍼쳐 구성(612a, 612b 및 612c))을 포함한다. 입사빔(또는 광선 번들)(614)을 수용하기 위한 특정 어퍼쳐 구성은 각각의 디스크에 대해 선택될 수 있고 이때 세 개의 디스크로부터의 세 개의 선택된 어퍼쳐 구성은 중첩되어 다양한 수의 어퍼쳐 설정 및 결과적으로 나타나는 조명 동공 프로파일로 나타날 수 있다.
일반적으로, 각각의 디스크의 각각의 어퍼쳐 구성은 적어도 하나의 투명 부분을 포함하고 또한 하나 이상의 불투명 영역을 포함할 수도 있다. 예를 들면, 투명 부분은 임의의 적절한 투명 재료, 예컨대 유리, 석영, 용융 실리카 등등으로부터 형성될 수 있거나, 또는, 각각의 투명 영역은 단지 재료가 없을 수 있으며, 그에 따라 광이 어퍼쳐 구성의 각각의 투명 부분을 통과하게 된다. 대조적으로, 각각의 불투명 부분은 동공 평면에서 입사빔의 대응하는 공간적 부분을 차단하고, 각각의 불투명 부분은 일반적으로 불투명 재료, 예컨대 크롬, 몰리브덴 실리사이드(MoSi), 탄탈 실리사이드, 텅스텐 실리사이드, 불투명 MoSi 온 글래스(opaque MoSi on glass; OMOG) 등등으로부터 형성된다. 접착력을 향상시키기 위해 불투명 층과 투명 기판 사이에 폴리실리콘 막이 또한 추가될 수도 있다. 저반사성 막, 예컨대 몰리브덴 산화물(MoO2), 텅스텐 산화물(WO2), 티타늄 산화물(TiO2), 또는 크롬 산화물(CrO2)이 불투명 재료 위에 형성될 수도 있다. 각각의 어퍼쳐의 투명 부분의 형상은 임의의 적절한 형상, 예컨대 직사각형, 원형, 타원형, lhc스크린(lhcscreen)(원과 직사각형의 중첩), 마거리트(marguerite)(두 개의 lhc스크린, 하나가 90°만큼 회전됨), 렉텔립스(rectellipse)(타원과 직사각형의 중첩), 레이스트랙(racetrack) 등등일 수도 있다.
일반적으로, 어퍼쳐 구성은 특정한 입사빔 프로파일 또는 AOI 및 AZ의 세트를 생성한다. 특정 예에서, 소스 마스크 최적화(Source Mask Optimization; SMO) 또는 임의의 픽셀화 조명 기술(pixelated illumination technique)이 구현될 수도 있다. 예시된 실시형태에서, 각각의 어퍼쳐 구성은 전체 조명 동공 지역을 커버하며 광축 상에 중심을 둔다. 그러나, 어퍼쳐 구성은, 대안적으로, 동공 지역의 부분에 또는 입사빔의 광 경로를 따른 몇몇 다른 지점(동공 평면이 아님)에 놓일 수도 있다.
도 6b는, 어퍼쳐 구성의 제2 예를 달성하기 위해 세 개의 어퍼쳐 구성을 결합하는 것을 예시한다. 투명 부분의 사이즈는 간략화를 위해 과장되어 있다. 이 예에서, 제1 어퍼쳐 구성(610)은 전체 동공 지역에 걸쳐 완전히 투명하다. 제2 투명 구성(608b)은 불투명 부분(654 및 656)에 의해 둘러싸이는 투명한 수직 투명 스트립(652)을 구비한다. 제3 어퍼쳐 구성(612b)은 불투명 부분(624 및 620)에 의해 둘러싸이는 수평 투명 스트립(622)을 구비한다. 결과적으로 나타나는 어퍼쳐 구성(630)은 불투명 부분(632)에 의해 둘러싸이는 정사각형 투명 부분(634)을 구비하는데, 이것은 AOI의 특정 세트를 선택하도록 구성될 수 있다.
도 7a는, 본 발명의 다른 실시형태에 따른, 오프 축 포물면(OAP) 미러를 구비하는 구성가능한 계측 툴(700)의 개략적인 표현이다. 이 시스템(700)은, 예로서, 위치(712a 및 712b)로부터 이동할 (예를 들면, 반사 미러(722a)로부터 수광되는 조명 빔으로부터의) 다수의 AOI를 선택하기 위해, 가동 병진 미러(712)와 연계하여 사용되는 오프 축 포물면 미러(OAP)(710)를 조명 측(702)에서 포함한다. 일반적으로, 가동 조명 미러(712)는, 조명 빔이 반사되는 OAP의 곡면 상의 위치에 기초하여 AOI의 특정한 세트를 달성하도록 조명 빔으로 하여금 조명 OAP(710a)에서 반사되어 나오게 하기 위해, 예를 들면, 방향(예를 들면, 714a)을 따라 변위될 수 있다. 예시된 실시형태에서는, 샘플(132) 상에서 두 개의 상이한 공간적으로 분리된 AOI를 한 번에 하나씩 순차적으로 달성하기 위한 두 개의 상이한 조명 병진 미러 위치(712a 및 712b)가 도시되지만, 더 많은 AOI를 달성하기 위해 더 많은 미러 위치(조명 빔으로 하여금 OAP의 곡면의 상이한 지역으로부터 반사되게 하도록 구성됨)가 사용될 수도 있다.
시스템(700)의 수집 광학장치(704)는, 샘플(132)로부터 선택된 AOI의 출력 빔을 수집하도록 배열되는 대응하는 수집 OAP 미러(710b) 및 예를 들면, 수집 OAP(710b)로부터 이들 출력 빔을 선택된 AOI에서 한 번에 하나씩 수광하기 위해, 복수의 위치(예를 들면, 713a 및 713b)를 방향(714b)으로 이동시키도록 구성가능한 대응하는 수집 병진 미러(collection translating mirror; 713)를 포함할 수도 있다. 수집 광학장치(704)는 또한, 출력 빔(예를 들면, 시준된 빔(716))을 검출기(124)로 지향시키기 위한 임의의 적절한 광학 엘리먼트(예를 들면, 볼록 미러(720) 및 반사 미러(722b))를 포함할 수도 있다.
다른 양태에서, 병진 미러(712 및 713) 및 OAP 미러(710a 및 710b)는 AOI(거의 스쳐가는 입사(grazing incidence)까지)의 큰 범위를 지원하도록 구성될 수도 있다. 즉, 병진 및 OAP 미러는 연속하는 범위에 걸쳐 AOI를 선택하도록 구성될 수도 있다.
컨트롤러(730) 및/또는 위치 결정 메커니즘(761)은 시스템(700)의 컴포넌트 중 임의의 것을 제어하도록 구성될 수도 있다. 예를 들면, 컨트롤러(730) 및/또는 위치 결정 메커니즘(761)은, 하나 이상의 광원(106)의 파장, 편광기(110), 조명 보상기(112), 분석기(122), 및 수집 보상기(120)의 각 진동수 및/또는 방위각 및 타이밍, 각각의 병진 미러(712 및 713)의 병진 움직임, OAP 미러의 회전 등등을 선택하도록 구성된다.
다른 실시형태에서, 도 7b는, 본 발명의 대안적인 구현예에 따른, 오프 축 포물면(OAP) 미러를 구비하는 듀얼 패스 계측 툴(750)을 예시한다. 이 시스템(750)은, 예로서, 위치(762a 및 762b)로부터 이동할 (예를 들면, 빔 스플리터(752)로부터 수광되는 조명 빔으로부터의) 다수의 AOI를 선택하기 위해, 가동 병진 미러(762)와 연계하여 사용되는 오프 축 포물면 미러(OAP)(760)를 포함한다. 일반적으로, 가동 조명 미러(762)는, 조명 빔이 반사되는 OAP의 곡면 상의 위치에 기초하여 AOI의 특정한 세트를 달성하도록 조명 빔으로 하여금 조명 OAP(760)에서 반사되어 나오게 하기 위해, 예를 들면, 방향(예를 들면, 764)을 따라 변위될 수 있다. 이 움직임은 샘플(132)로부터 방사되는 출력 빔으로 하여금 구면 미러(754) 상에서의 그 위치를 변경하게 할 것이지만, 이 구면 표면(754) 상에서의 자신의 반사 이후에 샘플링 지점으로 리턴될 것이다. 구면 미러(754)로부터의 이 리턴된 빔 및 샘플의 주사된 타겟 특성에 응답하여 제2 출력 빔이 샘플(132)로부터 방사한다. 그 다음, 제2 출력 빔은, OAP 미러(760), 위치(762a) 또는 위치(762b)에서의 병진 미러, 및 빔 스플리터(752)에 의해 수집되어 검출기(도시되지 않음)로 지향될 수도 있다.
도 7b에서 도시되는 다른 실시형태에서, 위치 결정 메커니즘(791)은, 컨트롤러(780)와 연계하여, 샘플(132) 또는 측정 지점(756) 주변의 테스트될 필요가 있는 표면에게 기울기/틸트를 제공하도록 구성될 수도 있다. 예를 들면, AOI 및 AZ의 연속하는 또는 순차적인 주사는 위치 결정 메커니즘(791)을 통해 샘플을 기울이는/틸팅하는 것에 의해 달성될 수 있다. 이 움직임은 샘플(132)로부터 방사되는 출력 빔으로 하여금 구면 미러(754) 상에서의 그 위치를 변경하게 할 것이지만, 이 구면 표면(754) 상에서의 자신의 반사 이후에 샘플링 지점으로 리턴될 것이다. 구면 미러(754)로부터의 이 리턴된 빔 및 샘플의 주사된 타겟 특성에 응답하여 제2 출력 빔이 샘플(132)로부터 방사한다. 그 다음, 제2 출력 빔은 OAP 미러(760), 이 실시형태에서는 고정되는 미러(762), 및 빔 스플리터(752)에 의해 수집되어 검출기(도시되지 않음)로 지향될 수도 있다. 이 실시형태에서, 병진 미러(762)는 웨이퍼가 기울어지는 동안 고정된 위치에서 유지된다.
본원에서 설명되는 다수의 AOI 및 AZ 시스템 실시형태는 또한, 수집 측이 샘플에서 나가는 0차 광을 샘플링하는 명시야 동작, 수집 암이 샘플에서 나가는 비 0차 광을 샘플링하는 암시야 동작에 대해 구성될 수도 있다. 하나의 배치에서, 명시야 동작과 암시야 동작 사이에서의 선택을 위해, 공간적으로 분리된 조명 어퍼쳐의 한 세트 및 공간적으로 분리된 수집 어퍼쳐의 다른 세트가 배열된다. 명시야 동작에서, 수집 측은 샘플에서 나오는 조명 광의 동일한 AOI(AZ)를 샘플링한다. 암시야 동작에서, 수집 측은 샘플에서 나오는 조명 광과는 상이한 AOI(AZ)를 샘플링한다.
이들 다수의 AOI(또는 AZ) 시스템 중 임의의 것에서, 조명 광학장치는, 웨이퍼 상에서 방위각에서 분리되는 다수의 조명 빔을 생성하도록 구성될 수 있는데, 예컨대 제로 내지 90도가 동시에 커버된다. 이 시스템은, 분리된 광학 빔을 수광할 수 있는 공간적으로 분리된 검출기의 세트, 및 각각의 빔에 대해 다수의 AOI 및 AZ를 지원하는 조명 및 수집 광학 장치를 구비할 수도 있다.
본원에서 설명되는 시스템을 이용하여 임의의 적절한 계측 프로세스가 구현될 수도 있다. 도 8은, 본 발명의 일 실시형태에 따른, SE 계측 프로시져(800)를 예시하는 플로우차트이다. 최초, 동작 802에서, 다수의 파장(예를 들면, VUV 내지 IR)의 조명 광이 생성될 수도 있다. 동작 804에서, 이 조명 광에 대한 하나 이상의 편광 상태가 선택될 수도 있다. 동작 806에서, 조명 광에 대한 AOI 및/또는 AZ의 하나 이상의 범위가 또한 선택될 수도 있다. 동작 808에서, 조명 광은 또한, 예로서, 웨이퍼의 타겟 상에 작은 스팟을 형성하도록, 성형되어 지향될 수도 있다.
그 다음, 동작 810에서, 조명 빔에 응답하여 웨이퍼로부터 방사되는 출력 빔이 수집될 수도 있다. 동작 812에서, 출력 빔을 수집하기 위한 하나 이상의 AOI 또는 AZ가 또한 선택될 수도 있다. 동작 814에서, 하나 이상의 편광 상태가 또한 선택될 수도 있다. 그 다음, 동작 816에서, 출력 빔이 검출되고, 신호 또는 이미지를 생성하도록, 사용될 수도 있다. 그 다음, 동작 818에서, 생성된 신호 또는 이미지는, 웨이퍼 상의 타겟의 특성을 결정하도록 분석될 수도 있다. 예를 들면, 상이한 타겟 특성 및 상이한 조명 특성(예를 들면, 편광 상태, 파장, AOI, 및 AZ)에 대한, 모델로부터의 시뮬레이팅된 출력/이미지는, 대응하는 타겟 특성을 결정하기 위해, 생성된 신호/이미지에 비교될 수도 있다.
하나 이상의 검출된 신호 또는 이미지에 기초하여 결정될 수 있는 예시적인 샘플 파라미터는, 임계 치수(critical dimension; CD), 막 두께, 금속 게이트 리세스, 고유전율(high k) 리세스, 측벽 각도, 단차 높이, 피치 보행(pitch walking), 트렌치 및 콘택 프로파일, 오버레이, 재료 속성(예를 들면, 재료 조성, 굴절률, 초박형 확산층, 초박형 게이트 산화물, 어드밴스드 포토레지스트, 193nm ARC 층, 초박형 다중층 스택, CVD 층, 및 어드밴스 고유전율 금속 게이트(advance high-k metal gate; HKMG)를 포함하는 임계 막에 대한 스트레스, 초박형의 디커플드 플라즈마 질화(decoupled plasma nitridation) 프로세스 층, 유전체간(inter-dielectrics), 포토레지스트, 보텀 반사방지 코팅, 두꺼운 산화물 및 질화물을 포함하는 비임계 막에 대한 스트레스, 라인 층의 백엔드), 반도체 제조 프로세스 파라미터(예를 들면, 스캐너에 대한 초점 및 선량, 에칭 툴에 대한 에칭 레이트) 등등을 포함한다.
상기 발명이 이해의 명확화를 위해 몇몇 상세에서 설명되었지만, 소정의 변경예 및 수정예가 첨부된 청구범위의 범위 내에서 실시될 수도 있다는 것이 명확할 것이다. 본 발명의 프로세스, 시스템, 및 장치를 구현하는 많은 대안적인 방식이 존재할 수도 있다는 것을 유의해야 한다. 예를 들면, 시스템 실시형태가 반도체 디바이스의 계측에 적용가능한 것으로 설명되지만, 이러한 시스템은 다른 타입의 애플리케이션, 예컨대 다른 타입의 샘플의 계측 또는 결함 검사에 대해 어쩌면 사용될 수도 있다는 것이 고려된다. 따라서, 본 실시형태는 제한적인 것으로서가 아니라 예시적인 것으로서 간주되어야 하며, 본 발명은 본원에서 주어지는 상세로 제한되지 않아야 한다.
삭제
삭제

Claims (35)

  1. 반도체 샘플의 계측을 수행하기 위한 타원편광 측정기 장치(ellipsometer apparatus)에 있어서,
    진공 자외선(vacuum ultraviolet; VUV) 파장으로부터 적외선(infrared; IR) 파장까지의 범위 내에서 선택가능한 복수의 파장에서 조명 빔을 제공하고, 상기 조명 빔을, 복수의 입사각(angles of incidence; AOI) 및 방위각(azimuth angle; AZ), 중 적어도 하나에서 상기 샘플을 향해 지향시키기 위한, 조명 광학장치 모듈(illumination optics module)로서,
    제1 오프 축 포물면(off axis parabolic; OAP) 미러,
    상기 제1 OAP 미러가, AOI 및 AZ, 중 적어도 하나의 불연속 범위에서 한 번에 하나씩 상기 조명 빔을 상기 샘플로 반사하도록 상기 조명 빔을 상기 제1 OAP 미러 상의 복수의 위치로 지향시키기 위해, 복수의 위치에서 상기 조명 빔을 수광하도록 움직일 수 있는 제1 병진 미러(translation mirror), 및
    상기 조명 빔에 대한 복수의 편광 상태를 생성하기 위한 편광 생성 광학 엘리먼트를 포함하는 것인, 상기 조명 광학장치 모듈;
    AOI 및 AZ, 중 적어도 하나의 복수의 불연속 범위에서 상기 샘플로부터 방사되는 출력 빔을 수집하고, 이 출력 빔을 검출 모듈로 지향시키기 위한, 수집 광학장치 모듈(collection optics module)로서,
    상기 불연속 범위는 한 번에 하나씩 수집되고, 상기 출력 빔은 상기 샘플 상의 상기 조명 빔에 응답하는 것이고,
    상기 수집 광학장치 모듈은,
    검출기,
    제2 OAP 미러,
    상기 제2 OAP 미러가, AOI 및 AZ, 중 적어도 하나의 상기 불연속 범위에서 한 번에 하나씩 상기 출력 빔을 상기 검출기로 반사하도록 상기 출력 빔을 상기 제2 OAP 미러 상의 복수의 위치로 지향시키기 위해, 복수의 위치에서 상기 출력 빔을 수광하도록 움직일 수 있는, 제2 병진 미러, 및
    상기 출력 빔의 상기 편광 상태를 분석하기 위한 편광 분석 광학 엘리먼트(polarization analyzing optical element)를 포함하는 것인, 상기 수집 광학장치 모듈;
    AOI 및 AZ, 중 적어도 하나의 상기 불연속 범위와 상기 편광 상태에서 상기 샘플로부터 상기 출력 빔을 수광 및 검출하고, AOI 및 AZ, 중 적어도 하나의 상기 불연속 범위와 상기 편광 상태에서 상기 출력 빔에 기초하여 복수의 신호를 생성하기 위한, 상기 검출 모듈; 및
    파장 범위를 선택하는 것, 상기 출력 빔의 수집을 위한, AOI 및 AZ, 중 적어도 하나의 상기 불연속 범위 중 하나 이상을 선택하는 것, 상기 편광 상태를 선택하는 것, 및 상기 샘플의 특성을 결정하기 위해, AOI 및 AZ, 중 적어도 하나의 상기 불연속 범위와 상기 편광 상태에서 상기 신호를 분석하는 것 중 하나 이상을 제어하도록 각각 구성되는, 하나 이상의 컨트롤러
    를 포함하고,
    상기 조명 광학장치 모듈 및 상기 수집 광학장치 모듈은, 상기 편광 생성 광학 엘리먼트와 상기 편광 분석 광학 엘리먼트 사이에 반사성 광학 엘리먼트를 포함하는 것인, 반도체 샘플의 계측을 수행하기 위한 타원편광 측정기 장치.
  2. 반도체 샘플의 계측을 수행하기 위한 타원편광 측정기 장치에 있어서,
    진공 자외선(VUV) 파장으로부터 적외선(IR) 파장까지의 범위 내에서 선택가능한 복수의 파장에서 조명 빔을 제공하고, 상기 조명 빔을, 복수의 입사각(AOI) 및 방위각(AZ), 중 적어도 하나에서 상기 샘플을 향해 지향시키기 위한, 조명 광학장치 모듈로서,
    빔 스플리터,
    오프 축 포물면(OAP) 미러,
    상기 OAP 미러가, AOI 및 AZ, 중 적어도 하나의 불연속 범위에서 한 번에 하나씩 상기 조명 빔을 상기 샘플로 반사하도록 상기 조명 빔을 상기 OAP 미러 상의 복수의 대응하는 위치로 지향시키기 위해, 병진 미러(translation mirror)의 복수의 병진 위치에서 상기 빔 스플리터를 통해 상기 조명 빔을 수광하도록 움직일 수 있는 병진 미러, 및
    상기 조명 빔에 대한 복수의 편광 상태를 생성하기 위한 편광 생성 광학 엘리먼트를 포함하는 것인, 상기 조명 광학장치 모듈;
    AOI 및 AZ, 중 적어도 하나의 복수의 불연속 범위에서 상기 샘플로부터 방사되는 출력 빔을 수집하고, 이 출력 빔을 검출 모듈로 지향시키기 위한, 수집 광학장치 모듈(collection optics module)로서,
    상기 불연속 범위는 한 번에 하나씩 수집되고, 상기 출력 빔은 상기 샘플 상의 상기 조명 빔에 응답하는 것이고,
    상기 수집 광학장치 모듈은,
    빔 스플리터,
    상기 OAP 미러,
    상기 병진 미러,
    제2 출력 빔으로 하여금 상기 샘플로부터 방사하여 상기 OAP 미러 상의 상기 대응하는 위치로부터 반사하고, 이후 AOI 및 AZ, 중 적어도 하나의 상기 불연속 범위에서 한 번에 하나씩 상기 제2 출력 빔을 수집하기 위해 상기 빔 스플리터를 향해 그리고 검출기 쪽으로 상기 복수의 병진 위치에서 상기 병진 미러로부터 반사하기 위해, 상기 출력 빔을 상기 샘플을 향해 되반사하기 위한 구형 미러(spherical mirror), 및
    상기 제2 출력 빔의 상기 편광 상태를 분석하기 위한 편광 분석 광학 엘리먼트(polarization analyzing optical element)를 포함하는 것인, 상기 수집 광학장치 모듈;
    AOI 및 AZ, 중 적어도 하나의 상기 불연속 범위와 상기 편광 상태에서 상기 샘플로부터 상기 제2 출력 빔을 수광 및 검출하고, AOI 및 AZ, 중 적어도 하나의 상기 불연속 범위와 상기 편광 상태에서 상기 제2 출력 빔에 기초하여 복수의 신호를 생성하기 위한, 상기 검출 모듈; 및
    파장 범위를 선택하는 것, 상기 출력 빔의 수집을 위해, AOI 및 AZ, 중 적어도 하나의 상기 불연속 범위 중 하나 이상을 선택하는 것, 상기 편광 상태를 선택하는 것, 및 상기 샘플의 특성을 결정하기 위해, AOI 및 AZ, 중 적어도 하나의 상기 불연속 범위와 상기 편광 상태에서 상기 신호를 분석하는 것 중 하나 이상을 제어하도록 각각 구성되는, 하나 이상의 컨트롤러
    를 포함하고,
    상기 조명 광학장치 모듈 및 상기 수집 광학장치 모듈은, 상기 편광 생성 광학 엘리먼트와 상기 편광 분석 광학 엘리먼트 사이에 반사성 광학 엘리먼트를 포함하는 것인, 반도체 샘플의 계측을 수행하기 위한 타원편광 측정기 장치.
  3. 반도체 샘플의 계측을 수행하기 위한 타원편광 측정기 장치에 있어서,
    진공 자외선(VUV) 파장으로부터 적외선(IR) 파장까지의 범위 내에서 선택가능한 복수의 파장에서 조명 빔을 제공하고, 상기 조명 빔을, 복수의 입사각(AOI) 및 방위각(AZ), 중 적어도 하나에서 상기 샘플을 향해 지향시키기 위한, 조명 광학장치 모듈;
    모든 AOI 또는 AZ에서 상기 샘플로부터 방사되는 출력 빔을 수집하고, 이 출력 빔을 동시에 하나 이상의 검출기 상으로 지향시키기 위한 수집 광학장치 모듈로서, 상기 출력 빔은 상기 샘플 상의 상기 조명 빔에 응답하고,
    상기 조명 광학장치 모듈은 상기 조명 빔에 대한 복수의 편광 상태를 생성하기 위한 편광 생성 광학 엘리먼트를 포함하고, 상기 수집 광학장치 모듈은 상기 출력 빔의 상기 편광 상태를 분석하기 위한 편광 분석 광학 엘리먼트를 포함하고,
    상기 조명 광학장치 모듈 및 상기 수집 광학장치 모듈은, 상기 복수의 편광 상태를 생성하기 위한 광학 엘리먼트와 상기 편광 상태를 분석하기 위한 광학 엘리먼트 사이에 반사성 광학 엘리먼트를 포함하는 것인, 상기 수집 광학장치 모듈;
    상기 AOI 및 AZ, 중 적어도 하나와 상기 편광 상태에서 상기 샘플로부터 상기 출력 빔을 수광 및 검출하고, 이 AOI 및 AZ와 상기 편광 상태에서 상기 출력 빔에 기초하여 복수의 신호 또는 이미지를 생성하기 위한 상기 하나 이상의 검출기; 및
    파장 범위를 선택하는 것, 상기 편광 상태를 선택하는 것, 및 상기 샘플의 특성을 결정하기 위해 AOI 및 AZ, 중 적어도 하나, 상기 파장, 및 상기 선택된 편광 상태에서 상기 신호 또는 이미지를 분석하는 것 중 하나 이상을 제어하도록 각각 구성되는, 하나 이상의 컨트롤러
    를 포함하는 것인, 반도체 샘플의 계측을 수행하기 위한 타원편광 측정기 장치.
  4. 제3항에 있어서,
    상기 수집 광학장치 모듈은 상기 파장을 파장면(wavelength plane)에 분산시키고, 상기 AOI 및 AZ, 중 적어도 하나를 AOI/AZ 평면에 분산시킴으로써, 상기 파장과, 상기 AOI 및 AZ, 중 적어도 하나가 2개의 상이한 검출 방향을 따라 분산되게 하기 위한 하나 이상의 분산 엘리먼트(dispersing element)를 포함하는 것인, 반도체 샘플의 계측을 수행하기 위한 타원편광 측정기 장치.
  5. 제4항에 있어서,
    상기 2개의 상이한 검출 방향은 서로 직교하고, 상기 하나 이상의 분산 엘리먼트는 상기 2개의 상이한 검출 방향에 대해 2개의 상이한 광전력을 갖는 것인, 반도체 샘플의 계측을 수행하기 위한 타원편광 측정기 장치.
  6. 제4항에 있어서,
    상기 하나 이상의 검출기는 복수의 검출기를 포함하고, 각각의 검출기는 상이한 AOI 영역 중 하나에 걸쳐 통합하는 상기 분산된 파장을 분해하도록 구성되는 것인, 반도체 샘플의 계측을 수행하기 위한 타원편광 측정기 장치.
  7. 제6항에 있어서,
    상기 수집 광학장치 모듈은,
    상기 하나 이상의 분산 엘리먼트로부터의 상기 출력 빔을, 상기 검출기 중 하나로 각각 출력되는 상이한 AOI 영역으로 분할하기 위한 세분화 광학장치(sub-dividing optics), 및
    상기 파장면과 상기 AOI/AZ 평면 사이에 위치되는 재이미지화 광학장치(re-imaging optic)로서, 상기 재이미지화 광학장치는 각각의 검출기 상에 상기 파장면을 재이미지화하도록 구성되는 것인, 상기 재이미지화 광학장치
    를 더 포함하는 것인, 반도체 샘플의 계측을 수행하기 위한 타원편광 측정기 장치.
  8. 제4항에 있어서,
    수집 광학장치 모듈은, 상기 출력 빔의 복수의 공간적으로 분리된, AOI 및 AZ 영역, 중 적어도 하나로부터 특정한 AOI 영역을 선택적으로 투과시키기 위한 동공에 켤레인 평면에 있는 AOI/AZ 마스크를 포함하고,
    상기 하나 이상의 검출기는, 상기 특정한 AOI 영역을 수용하고, 상기 분산된 파장을 분해하며, 이 분해된 파장을 상기 특정한 AOI 영역에 걸쳐 통합하기 위한 단일 검출기를 포함하고,
    상기 컨트롤러는 또한, 한 번에 하나의 특정한 AOI 영역을 선택하도록 구성되는 것인, 반도체 샘플의 계측을 수행하기 위한 타원편광 측정기 장치.
  9. 제8항에 있어서,
    상기 파장면은 상기 AOI/AZ 평면 앞에 위치되는 것인, 반도체 샘플의 계측을 수행하기 위한 타원편광 측정기 장치.
  10. 제8항에 있어서,
    상기 AOI/AZ 마스크는, 각각의 고정된 어퍼쳐 상에 셔터를 갖는 복수의 고정된 어퍼쳐를 포함하는 것인, 반도체 샘플의 계측을 수행하기 위한 타원편광 측정기 장치.
  11. 제8항에 있어서,
    상기 AOI/AZ 마스크는 고정된, 가동(movable) 어펴쳐를 포함하는 것인, 반도체 샘플의 계측을 수행하기 위한 타원편광 측정기 장치.
  12. 제4항에 있어서,
    상기 하나 이상의 검출기는 AOI가 분해 및 분석되지 않는 상이한 AOI 영역 중 인접한 AOI 영역 사이에 데드 픽셀 지역(dead pixel area)을 갖는 상기 상이한 AOI 영역에 걸쳐 통합하는 상기 분산된 파장을 분해하기 위한 단일 검출기를 포함하는 것인, 반도체 샘플의 계측을 수행하기 위한 타원편광 측정기 장치.
  13. 제3항에 있어서,
    상기 수집 광학장치는, 상기 출력 빔을 제1 출력 빔 및 제2 출력 빔으로 분할하기 위한 빔 스플리터, 상기 제1 출력 빔을 수광하고 상기 출력 빔의 파장 및 AOI를 상기 하나 이상의 검출기 중 제1 검출기의 2개의 상이한 검출 방향을 따라 분산시키기 위한 제1 분산 엘리먼트, 및 상기 제2 출력 빔을 수광하고 상기 출력 빔의 파장 및 AZ를 상기 하나 이상의 검출기 중 제2 검출기의 2개의 상이한 검출 방향을 따라 분산시키기 위한 제2 분산 엘리먼트를 포함하는 것인, 반도체 샘플의 계측을 수행하기 위한 타원편광 측정기 장치.
  14. 제4항에 있어서,
    상기 파장면은 상기 AOI/AZ 평면과 동일한 평면에 위치되는 것인, 반도체 샘플의 계측을 수행하기 위한 타원편광 측정기 장치.
  15. 제4항에 있어서,
    상기 하나 이상의 검출기는 2개의 상이한 AOI 영역으로부터의 데이터를 병렬로 프로세싱하기 위한 적어도 2개의 레지스터를 갖는 검출기를 포함하는 것인, 반도체 샘플의 계측을 수행하기 위한 타원편광 측정기 장치.
  16. 제3항에 있어서,
    상기 파장의 범위는 150 nm 내지 2000 nm 사이에 있는 것인, 반도체 샘플의 계측을 수행하기 위한 타원편광 측정기 장치.
  17. 제3항에 있어서,
    상기 조명 광학장치 모듈은 상기 조명 빔을 생성하기 위한 적어도 하나의 레이저 지속 플라즈마(laser-sustained plasma; LSP) 광원을 포함하는 밝은 레이저 구동(bright laser-driven) 광원을 포함하고, 상기 LSP 광원은 0.1 W/nm/cm2/sr 보다 큰 피크 휘도에서 상기 조명 빔을 생성하는 것인, 반도체 샘플의 계측을 수행하기 위한 타원편광 측정기 장치.
  18. 제3항에 있어서,
    상기 편광 생성 광학 엘리먼트는 상기 조명 광학장치 모듈 내에 편광기 및 제1 보상기를 포함하고, 상기 편광 분석 광학 엘리먼트는 상기 수집 광학장치 모듈 내에 제2 보상기 및 분석기를 포함하고, 상기 편광 상태를 선택하는 것은, 상기 편광기, 상기 제1 및 제2 보상기, 및 상기 분석기 중 임의의 하나 이상을 회전시키거나 정적으로 유지하는 것을 포함하는 것인, 반도체 샘플의 계측을 수행하기 위한 타원편광 측정기 장치.
  19. 제3항에 있어서,
    상기 편광 생성 광학 엘리먼트는 편광기 및 분석기를 포함하고, 상기 편광 상태를 선택하는 것은 상기 편광기를 회전시키는 것과, 상기 분석기를 정적으로 유지하는 것을 포함하는 것인, 반도체 샘플의 계측을 수행하기 위한 타원편광 측정기 장치.
  20. 제19항에 있어서,
    상기 편광 분석 광학 엘리먼트는 수집 보상기를 더 포함하고, 상기 편광 상태를 선택하는 것은 상기 수집 보상기를 회전시키는 것을 더 포함하며, 상기 조명 광학장치 모듈은, AOI 및 AZ, 중 적어도 하나의 각각의 불연속 범위에 대해 상기 샘플 상의 타겟 위에서의 초점의 점상 강도 분포 함수(point spread function)를 최소화하기 위한 아포다이저(apodizer)를 포함하는 것인, 반도체 샘플의 계측을 수행하기 위한 타원편광 측정기 장치.
  21. 제20항에 있어서,
    상기 편광 생성 광학 엘리먼트는 조명 보상기를 더 포함하고, 상기 편광 상태를 선택하는 것은 상기 조명 보상기를 회전시키는 것을 더 포함하는 것인, 반도체 샘플의 계측을 수행하기 위한 타원편광 측정기 장치.
  22. 제3항에 있어서,
    상기 편광 생성 광학 엘리먼트는 편광기 및 조명 보상기를 포함하고, 상기 편광 분석 광학 엘리먼트는 분석기를 포함하고, 상기 편광 상태를 선택하는 것은 상기 조명 보상기를 회전시키는 것과, 상기 편광기 및 상기 분석기를 정적으로 유지하는 것을 포함하고, 상기 편광 분석 광학 엘리먼트는 수집 보상기를 더 포함하며, 상기 편광 상태를 선택하는 것은 상기 수집 보상기를 회전시키는 것을 더 포함하는 것인, 반도체 샘플의 계측을 수행하기 위한 타원편광 측정기 장치.
  23. 제3항에 있어서,
    상기 편광 생성 광학 엘리먼트는 편광기를 포함하고, 상기 편광 분석 광학 엘리먼트는 분석기를 포함하고, 상기 편광 상태를 선택하는 것은 상기 편광기를 정적으로 유지하고 상기 분석기를 회전시키는 것을 포함하는 것인, 반도체 샘플의 계측을 수행하기 위한 타원편광 측정기 장치.
  24. 반도체 샘플의 계측을 수행하기 위한 타원편광 측정기 장치에 있어서,
    진공 자외선(VUV) 파장으로부터 적외선(IR) 파장까지의 범위 내에서 선택가능한 복수의 파장에서 조명 빔을 제공하기 위한 하나 이상의 밝은 광원;
    분광 타원편광 측정(spectroscopic ellipsometry) 계측을 제공하기 위해, AOI 및 AZ, 중 적어도 하나의 복수의 선택가능한 범위와 복수의 편광 상태에서 상기 조명 빔을 샘플을 향해 지향시키기 위한 조명 광학 장치로서, AOI 및 AZ, 중 적어도 하나의 선택가능한 세트 각각에서 상기 샘플에 대한 상기 조명 빔의 조명 스팟의 스팟 사이즈를 제어하기 위한 적어도 하나의 아포다이저를 포함하는 것인, 상기 조명 광학 장치;
    AOI 및 AZ, 중 적어도 하나의 상기 선택가능한 세트 각각과 상기 편광 상태에서 상기 조명 빔에 응답하여, 상기 샘플로부터 방사되는 출력 빔을 검출기를 향해 지향시키기 위한 수집 광학장치;
    상기 출력 빔에 기초하여 출력 신호 또는 이미지를 생성하기 위한 상기 검출기; 및
    AOI 및 AZ, 중 적어도 하나와, 파장과, 편광 상태, 중 적어도 하나의 함수로서 상기 출력 신호 또는 이미지에 기초하여, 상기 샘플의 특성을 특징화하거나 결함을 검출하기 위한 컨트롤러
    를 포함하는 것인, 반도체 샘플의 계측을 수행하기 위한 타원편광 측정기 장치.
  25. 제24항에 있어서,
    상기 하나 이상의 광원은 레이저 지속 플라즈마(laser-sustained plasma; LSP) 광원을 포함하는 것인, 반도체 샘플의 계측을 수행하기 위한 타원편광 측정기 장치.
  26. 제24항에 있어서,
    상기 적어도 하나의 아포다이저는 재구성될 수 없는 광학적 기능을 각각 소유하며, 조명 동공 평면 안팎으로 각각 이동가능한 아포다이저의 세트를 포함하며, 각각의 아포다이저는 AOI 및 AZ, 중 적어도 하나의 상기 선택가능한 세트 각각에 대한 상기 스팟 사이즈를 제어하도록 구성되는 것인, 반도체 샘플의 계측을 수행하기 위한 타원편광 측정기 장치.
  27. 제24항에 있어서,
    상기 적어도 하나의 아포다이저는 상기 조명 스팟의 중심으로부터 미리 정의된 거리에서의 복사조도(irradiance)를, 상기 조명 스팟의 중심에서의 피크 복사조도의 미리 정의된 값 미만이 되도록 감소시킴으로써 AOI 및 AZ, 중 적어도 하나의 상기 선택가능한 세트 모두에 대한 상기 스팟 사이즈를 제어하도록 구성가능한 동적으로 조정가능한 아포다이저인 것인, 반도체 샘플의 계측을 수행하기 위한 타원편광 측정기 장치.
  28. 제24항에 있어서,
    상기 적어도 하나의 아포다이저는 상기 조명 빔에서 사이드 로브(side lobe)를 억제함으로써 상기 스팟 사이즈를 제어하도록 구성가능한 것인, 반도체 샘플의 계측을 수행하기 위한 타원편광 측정기 장치.
  29. 제24항에 있어서,
    상기 적어도 하나의 아포다이저는 또한, 상기 샘플 상의 복수의 상이한 타입의 타겟에 대해 구성가능한 것인, 반도체 샘플의 계측을 수행하기 위한 타원편광 측정기 장치.
  30. 제29항에 있어서,
    상기 조명 광학장치는 AOI 또는 AZ의 상기 선택가능한 세트 각각에서 상기 샘플 상의 상기 조명 빔을 주사하기 위한 주사 미러(scanning mirror)를 포함하고, 상기 수집 광학장치는 AOI 또는 AZ의 상기 선택된 세트를 한 번에 하나씩 분해하기 위한 AOI/AZ 선택기를 포함하는 것인, 반도체 샘플의 계측을 수행하기 위한 타원편광 측정기 장치.
  31. 제30항에 있어서,
    상기 AOI/AZ 선택기는 각각의 고정된 어퍼쳐에 대한 셔터를 갖는 복수의 고정된 어퍼쳐 또는 적어도 하나의 가동 어퍼쳐를 포함하는 것인, 반도체 샘플의 계측을 수행하기 위한 타원편광 측정기 장치.
  32. 제24항에 있어서,
    상기 적어도 하나의 아포다이저는 동적으로 조정가능한 아포다이저인 것인, 반도체 샘플의 계측을 수행하기 위한 타원편광 측정기 장치.
  33. 제32항에 있어서,
    상기 동적으로 조정가능한 아포다이저는 공간적 광 변조기(spatial light modulator; SLM)인 것인, 반도체 샘플의 계측을 수행하기 위한 타원편광 측정기 장치.
  34. 제24항에 있어서,
    상기 적어도 하나의 아포다이저는 AOI 또는 AZ의 상기 선택가능한 세트에 대한 복수의 이진 진폭 패턴(binary amplitude pattern)을 형성하도록 구성가능한 것인, 반도체 샘플의 계측을 수행하기 위한 타원편광 측정기 장치.
  35. 제24항에 있어서,
    상기 적어도 하나의 아포다이저는 AOI 또는 AZ의 상기 선택가능한 세트에 대한 복수의 진폭 패턴을 형성하도록 구성되고, 적어도 하나의 진폭 패턴은 연속적으로 가변인 것인, 반도체 샘플의 계측을 수행하기 위한 타원편광 측정기 장치.
KR1020207019773A 2013-09-16 2014-09-15 반도체 샘플의 계측을 수행하기 위한 타원편광 측정기 장치 KR102216201B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201361878561P 2013-09-16 2013-09-16
US61/878,561 2013-09-16
US14/043,783 US9116103B2 (en) 2013-01-14 2013-10-01 Multiple angles of incidence semiconductor metrology systems and methods
US14/043,783 2013-10-01
PCT/US2014/055666 WO2015039031A1 (en) 2013-09-16 2014-09-15 Multiple angles of incidence semiconductor metrology systems and methods

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020167009901A Division KR102134943B1 (ko) 2013-09-16 2014-09-15 반도체 샘플의 계측을 수행하기 위한 타원편광 측정기 장치

Publications (2)

Publication Number Publication Date
KR20200085938A KR20200085938A (ko) 2020-07-15
KR102216201B1 true KR102216201B1 (ko) 2021-02-15

Family

ID=52666379

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020207019773A KR102216201B1 (ko) 2013-09-16 2014-09-15 반도체 샘플의 계측을 수행하기 위한 타원편광 측정기 장치
KR1020167009901A KR102134943B1 (ko) 2013-09-16 2014-09-15 반도체 샘플의 계측을 수행하기 위한 타원편광 측정기 장치

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020167009901A KR102134943B1 (ko) 2013-09-16 2014-09-15 반도체 샘플의 계측을 수행하기 위한 타원편광 측정기 장치

Country Status (6)

Country Link
EP (3) EP3971950A1 (ko)
JP (4) JP6688732B2 (ko)
KR (2) KR102216201B1 (ko)
CN (1) CN105051877B (ko)
IL (2) IL239666B (ko)
WO (1) WO2015039031A1 (ko)

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10309907B2 (en) * 2015-03-04 2019-06-04 Kla-Tencor Corporation All reflective wafer defect inspection and review systems and methods
JP6448528B2 (ja) * 2015-12-24 2019-01-09 株式会社目白67 エリプソメータ
US10215693B2 (en) * 2016-09-29 2019-02-26 Kla-Tencor Corporation Infrared spectroscopic reflectometer for measurement of high aspect ratio structures
EP3333632A1 (en) * 2016-12-08 2018-06-13 ASML Netherlands B.V. Metrology apparatus
CN109425619B (zh) * 2017-08-31 2021-12-28 深圳中科飞测科技股份有限公司 光学测量系统及方法
US10551166B2 (en) * 2017-10-11 2020-02-04 Kla-Tencor Corporation Optical measurement of a highly absorbing film layer over highly reflective film stacks
KR102248379B1 (ko) * 2019-06-20 2021-05-06 주식회사 이솔 반도체 소자의 결함 검사장치.
CN112213272B (zh) * 2019-07-10 2024-01-12 中微半导体设备(上海)股份有限公司 一种光谱检测设备、终点检测系统和方法
WO2021068232A1 (en) * 2019-10-12 2021-04-15 Yangtze Memory Technologies Co., Ltd. Method for detecting defects in deep features
CN113048894B (zh) * 2021-03-04 2022-10-18 上海精测半导体技术有限公司 一种探测反射光变化的装置、方法及膜厚测量装置
CN114428081A (zh) * 2021-12-15 2022-05-03 赣州市同兴达电子科技有限公司 复测aoi抛料可视化复判方法
KR102521324B1 (ko) * 2022-03-03 2023-04-20 (주)오로스 테크놀로지 입사각을 갖는 오프-액시스 광학계의 정렬 방법
KR102492803B1 (ko) 2022-04-19 2023-01-31 (주)오로스테크놀로지 조리개를 이용하여 입사 각도 또는 개구수를 조절하는 편광 분석 장치 및 방법
CN117980620A (zh) 2022-05-13 2024-05-03 日本精工株式会社 反向输入切断离合器
CN115389022B (zh) * 2022-08-19 2024-01-26 深圳市埃芯半导体科技有限公司 椭偏仪
KR102621281B1 (ko) * 2022-11-22 2024-01-05 (주)오로스테크놀로지 광 계측기

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090279090A1 (en) 2006-07-27 2009-11-12 Robert Gregory Wolf Multiple measurement techniques including focused beam scatterometry for characterization of samples
US20130003068A1 (en) 2011-07-01 2013-01-03 Kla-Tencor Corporation Measurement of Critical Dimension
JP2013504063A (ja) 2009-09-03 2013-02-04 ケーエルエー−テンカー・コーポレーション 計測システムおよび計測方法

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0643372A (ja) * 1992-06-19 1994-02-18 Canon Inc 光走査装置
US5872630A (en) * 1995-09-20 1999-02-16 Johs; Blaine D. Regression calibrated spectroscopic rotating compensator ellipsometer system with photo array detector
US5412473A (en) * 1993-07-16 1995-05-02 Therma-Wave, Inc. Multiple angle spectroscopic analyzer utilizing interferometric and ellipsometric devices
US5608526A (en) 1995-01-19 1997-03-04 Tencor Instruments Focused beam spectroscopic ellipsometry method and system
JP3712481B2 (ja) * 1995-12-28 2005-11-02 富士通株式会社 半導体装置の製造方法
US5877859A (en) * 1996-07-24 1999-03-02 Therma-Wave, Inc. Broadband spectroscopic rotating compensator ellipsometer
US5859424A (en) * 1997-04-08 1999-01-12 Kla-Tencor Corporation Apodizing filter system useful for reducing spot size in optical measurements and other applications
US5979244A (en) * 1998-03-04 1999-11-09 Siemens Aktiengesellschaft Method and apparatus for evaluating internal film stress at high lateral resolution
US6184984B1 (en) * 1999-02-09 2001-02-06 Kla-Tencor Corporation System for measuring polarimetric spectrum and other properties of a sample
JP2001165850A (ja) * 1999-12-14 2001-06-22 Fuji Electric Co Ltd 油膜検知装置
US7345762B1 (en) * 2000-05-30 2008-03-18 J.A. Woollam Co., Inc. Control of beam spot size in ellipsometer and the like systems
US20030030817A1 (en) * 2001-08-10 2003-02-13 Chih-Kung Lee Multifunctional opto-electronic biochip detection system
KR20050057279A (ko) * 2002-09-09 2005-06-16 지고 코포레이션 박막 구조의 특징화를 포함하여, 타원편광 측정, 반사 측정및 산란 측정을 위한 간섭측정 방법
US7369233B2 (en) 2002-11-26 2008-05-06 Kla-Tencor Technologies Corporation Optical system for measuring samples using short wavelength radiation
JP2006153770A (ja) * 2004-11-30 2006-06-15 Omron Corp 分光計測装置
US7483133B2 (en) * 2004-12-09 2009-01-27 Kla-Tencor Technologies Corporation. Multiple angle of incidence spectroscopic scatterometer system
US7463369B2 (en) * 2006-03-29 2008-12-09 Kla-Tencor Technologies Corp. Systems and methods for measuring one or more characteristics of patterned features on a specimen
US7989786B2 (en) 2006-03-31 2011-08-02 Energetiq Technology, Inc. Laser-driven light source
US7705331B1 (en) * 2006-06-29 2010-04-27 Kla-Tencor Technologies Corp. Methods and systems for providing illumination of a specimen for a process performed on the specimen
US7755764B2 (en) 2007-01-26 2010-07-13 Kla-Tencor Corporation Purge gas flow control for high-precision film measurements using ellipsometry and reflectometry
JP4950813B2 (ja) * 2007-08-30 2012-06-13 大日本スクリーン製造株式会社 分光エリプソメータ、膜厚測定装置および分光エリプソメータのフォーカス調整方法
JP5175605B2 (ja) * 2008-04-18 2013-04-03 株式会社日立ハイテクノロジーズ パターン形状検査方法
US8654328B2 (en) * 2008-11-04 2014-02-18 William Marsh Rice University Image mapping spectrometers
JP5366536B2 (ja) * 2008-12-26 2013-12-11 株式会社堀場製作所 ラマン散乱光測定装置
US8446584B2 (en) 2011-05-13 2013-05-21 Kla-Tencor Corporation Reconfigurable spectroscopic ellipsometer
US9228943B2 (en) * 2011-10-27 2016-01-05 Kla-Tencor Corporation Dynamically adjustable semiconductor metrology system
US9176069B2 (en) * 2012-02-10 2015-11-03 Kla-Tencor Corporation System and method for apodization in a semiconductor device inspection system
US8879073B2 (en) * 2012-02-24 2014-11-04 Kla-Tencor Corporation Optical metrology using targets with field enhancement elements
JP6345125B2 (ja) * 2012-03-07 2018-06-20 ケーエルエー−テンカー コーポレイション ウェハおよびレチクル検査システムならびに照明瞳配置を選択するための方法

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090279090A1 (en) 2006-07-27 2009-11-12 Robert Gregory Wolf Multiple measurement techniques including focused beam scatterometry for characterization of samples
JP2013504063A (ja) 2009-09-03 2013-02-04 ケーエルエー−テンカー・コーポレーション 計測システムおよび計測方法
US20130003068A1 (en) 2011-07-01 2013-01-03 Kla-Tencor Corporation Measurement of Critical Dimension

Also Published As

Publication number Publication date
EP3971950A1 (en) 2022-03-23
CN105051877B (zh) 2019-02-22
EP3624174B1 (en) 2024-08-21
JP2023014136A (ja) 2023-01-26
KR20200085938A (ko) 2020-07-15
JP2022079538A (ja) 2022-05-26
IL239666B (en) 2021-02-28
IL280388A (en) 2021-03-01
EP3047520A4 (en) 2017-07-12
EP3047520B1 (en) 2019-11-06
JP2016536609A (ja) 2016-11-24
EP3624174A3 (en) 2020-06-03
KR102134943B1 (ko) 2020-08-26
KR20160055908A (ko) 2016-05-18
JP6688732B2 (ja) 2020-04-28
WO2015039031A1 (en) 2015-03-19
EP3624174A2 (en) 2020-03-18
EP3047520A1 (en) 2016-07-27
IL280388B (en) 2022-02-01
IL239666A0 (en) 2015-08-31
CN105051877A (zh) 2015-11-11
JP2020128986A (ja) 2020-08-27

Similar Documents

Publication Publication Date Title
KR102216201B1 (ko) 반도체 샘플의 계측을 수행하기 위한 타원편광 측정기 장치
TWI631312B (zh) 用於執行半導體樣品之度量衡之橢圓偏光計設備
US10401738B2 (en) Overlay metrology using multiple parameter configurations
TWI703415B (zh) 度量系統及方法
KR102386664B1 (ko) 분광 빔 프로파일 계측
US11346657B2 (en) Measurement modes for overlay
JP2020517096A (ja) 層特定的照明スペクトルによる計量システム及び方法
CN114450575A (zh) 在扫描及静态模式中的敏感光学计量
CN114341594A (zh) 用于叠对测量的光栅目标结构的暗场成像
KR20240047335A (ko) 병렬 산란계 오버레이 계측
JP2023512258A (ja) 接合されたウェハのオーバレイ計測
TWI601937B (zh) 用於執行半導體樣品之度量衡之橢圓偏光計設備

Legal Events

Date Code Title Description
A107 Divisional application of patent
A302 Request for accelerated examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant