TWI626692B - 積體電路及其製造方法 - Google Patents

積體電路及其製造方法 Download PDF

Info

Publication number
TWI626692B
TWI626692B TW105123751A TW105123751A TWI626692B TW I626692 B TWI626692 B TW I626692B TW 105123751 A TW105123751 A TW 105123751A TW 105123751 A TW105123751 A TW 105123751A TW I626692 B TWI626692 B TW I626692B
Authority
TW
Taiwan
Prior art keywords
gate structure
type semiconductor
substrate
layer
semiconductor device
Prior art date
Application number
TW105123751A
Other languages
English (en)
Other versions
TW201738961A (zh
Inventor
張哲誠
林志翰
曾鴻輝
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201738961A publication Critical patent/TW201738961A/zh
Application granted granted Critical
Publication of TWI626692B publication Critical patent/TWI626692B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0922Combination of complementary transistors having a different structure, e.g. stacked CMOS, high-voltage and low-voltage CMOS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28114Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor characterised by the sectional shape, e.g. T, inverted-T
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3215Doping the layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/82385Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different shapes, lengths or dimensions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823864Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
    • H01L29/42376Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out characterised by the length or the sectional shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
    • H01L29/4238Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out characterised by the surface lay-out
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

積體電路包含基板、至少一n型半導體元件,以及至少一p型半導體元件。n型半導體元件位於基板上。n型半導體元件包含閘極結構,閘極結構具有底表面及至少一側壁。n型半導體元件之閘極結構的底表面與n型半導體元件之閘極結構的側壁交會以形成一內角。p型半導體元件位於基板上。p型半導體元件包含閘極結構,閘極結構具有底表面及至少一側壁。p型半導體元件之閘極結構的底表面與p型半導體元件之閘極結構的側壁交會以形成一內角,此內角小於n型半導體元件之閘極結構的內角。

Description

積體電路及其製造方法
本揭露是關於一種積體電路及其製造方法。
半導體元件為製造在半導體晶圓基板上的微小的電組件。以數種製造方法形成這些元件並連接彼此以形成積體電路。每個晶片上可具有數個積體電路且具有執行一系列有用的功能以操作電子器材。舉例而言,電子器材可為行動電話、個人電腦,以及個人遊戲裝置。從這些受歡迎的裝置之尺寸可看出形成在晶片上的元件具有微小的體積。
根據本揭露之一實施例,積體電路包含基板、至少一n型半導體元件,以及至少一p型半導體元件。n型半導體元件位於基板上。n型半導體元件包含具有底表面及至少一側壁的閘極結構。n型半導體元件之閘極結構之底表面和n型半導體元件之閘極結構之側壁交會以形成一內角。p型半導體元件位於基板上。型半導體元件包含具有底表面及至少一側壁的閘 極結構。p型半導體元件之閘極結構之底表面和p型半導體元件之閘極結構之側壁交會以形成一內角,此內角小於n型半導體元件之閘極結構之內角。
根據本揭露之另一實施例,積體電路包含基板、至少一n型半導體元件,以及至少一p型半導體元件。n型半導體元件位於基板上。n型半導體元件包含第一閘極結構。第一閘極結構包含頂部部分及位於頂部部分與基板之間的底部部分。頂部部分具有第一頂部寬度,而底部部分具有第一底部寬度。p型半導體元件位於基板上。p型半導體元件包含第二閘極結構。第二閘極結構包含頂部部分及位於頂部部分與基板之間的底部部分。頂部部分具有第二頂部寬度,而底部部分具有第二底部寬度。第一閘極結構和第二閘極結構實質上滿足:(Wb1-Wt1)>(Wb2-Wt2),其中Wb1為第一閘極結構之底部部分的第一底部寬度,Wt1為第一閘極結構之頂部部分的第一頂部寬度,Wb2為第二閘極結構之底部部分的第二底部寬度,而Wt2為第二閘極結構之頂部部分的第二頂部寬度。
根據本揭露之又一實施例,用於製造積體電路之方法包含在基板上形成閘極層。閘極層具有第一部分及第二部分。使用複數個第一摻雜劑摻雜閘極層之第一部分,而在使用第一摻雜劑的同時維持閘極層之第二部分為未摻雜。圖案化至少閘極之第一部分及第二部分以分別形成第一閘極結構與第二閘極結構。
10‧‧‧半導體元件
20‧‧‧半導體元件
102‧‧‧n型部分
104‧‧‧p型部分
105‧‧‧隔離結構
110‧‧‧基板
112‧‧‧半導體鰭
112c‧‧‧通道部分
112r‧‧‧凹槽
112s‧‧‧源/汲極部分
114‧‧‧半導體鰭
114c‧‧‧通道部分
114r‧‧‧凹槽
114s‧‧‧源/汲極部分
120‧‧‧層間介電質
122‧‧‧閘極介電質
124‧‧‧閘極介電質
130‧‧‧虛設閘極
132‧‧‧摻雜區
134‧‧‧摻雜區
136‧‧‧虛設閘極結構
136b‧‧‧底表面
136s‧‧‧側壁
137b‧‧‧底部部分
137t‧‧‧頂部部分
138‧‧‧虛設閘極結構
138b‧‧‧底表面
138s‧‧‧側壁
139b‧‧‧底部部分
139t‧‧‧頂部部分
142‧‧‧閘極間隔層
144‧‧‧閘極間隔層
152‧‧‧磊晶結構
154‧‧‧磊晶結構
160‧‧‧介電層
162‧‧‧開口
164‧‧‧開口
172‧‧‧功函數材料
172p‧‧‧功函數金屬層
174‧‧‧功函數材料
174n‧‧‧功函數金屬層
174p‧‧‧功函數金屬層
176‧‧‧金屬層
176n‧‧‧金屬層
176p‧‧‧金屬層
182‧‧‧金屬閘極結構
182b‧‧‧底表面
182s‧‧‧側壁
183b‧‧‧底部部分
183t‧‧‧頂部部分
184‧‧‧金屬閘極結構
184b‧‧‧底表面
184s‧‧‧側壁
185b‧‧‧底部部分
185t‧‧‧頂部部分
210‧‧‧遮罩層
212‧‧‧開口
215‧‧‧離子植入製程
220‧‧‧遮罩層
222‧‧‧開口
225‧‧‧離子植入製程
232‧‧‧遮罩
234‧‧‧遮罩
240‧‧‧介電材料
245‧‧‧光阻
Wb1‧‧‧寬度
Wb1’‧‧‧寬度
Wb2‧‧‧寬度
Wb2’‧‧‧寬度
Wt1‧‧‧寬度
Wt1’‧‧‧寬度
Wt2‧‧‧寬度
Wt2’‧‧‧寬度
θ1‧‧‧內角
θ2‧‧‧內角
θ3‧‧‧內角
θ4‧‧‧內角
閱讀以下詳細敘述並搭配對應之圖式,可了解本揭露之多個態樣。應注意,根據業界中的標準做法,多個特徵並非按比例繪製。事實上,多個特徵之尺寸可任意增加或減少以利於討論的清晰性。
第1A圖至第1M圖為依據本揭露之實施例之製造半導體元件的方法在各個步驟下的剖面圖。
第2A圖及第2B圖為依據本揭露之實施例之半導體元件在第1E圖之步驟的剖面圖。
第3A圖及第3B圖為依據本揭露之實施例之半導體元件在第1M圖之步驟的剖面圖。
以下揭露提供眾多不同的實施例或範例,用於實施本案提供的主要內容之不同特徵。下文描述一特定範例之組件及配置以簡化本揭露。當然,此範例僅為示意性,且並不擬定限制。舉例而言,以下描述「第一特徵形成在第二特徵之上方或之上」,於實施例中可包括第一特徵與第二特徵直接接觸,且亦可包括在第一特徵與第二特徵之間形成額外特徵使得第一特徵及第二特徵無直接接觸。此外,本揭露可在各範例中重複使用元件符號及/或字母。此重複之目的在於簡化及釐清,且其自身並不規定所討論的各實施例及/或配置之間的關係。
此外,空間相對術語,諸如「下方(beneath)」、「以下(below)」、「下部(lower)」、「上方(above)」、「上 部(upper)」等等在本文中用於簡化描述,以描述如附圖中所圖示的一個元件或特徵結構與另一元件或特徵結構的關係。除了描繪圖示之方位外,空間相對術語也包含元件在使用中或操作下之不同方位。此設備可以其他方式定向(旋轉90度或處於其他方位上),而本案中使用之空間相對描述詞可相應地進行解釋。
第1A圖至第1M圖為依據本揭露之實施例之製造半導體元件的方法在各個步驟下的剖面圖。請參照第1A圖。提供半導體基板110。在部分實施例中,基板110包含矽。或者,基板110包含鍺、矽鍺、砷化鎵或其他適合之半導體材料。再者,基板110可包含磊晶層。例如,基板110可具有位於塊狀半導體上的磊晶層。此外,基板110可受應力以達到強化效能之需求。例如,磊晶層可包含不同於塊狀半導體之半導體材料,諸如位於塊狀矽上的矽鍺層或是位於塊狀矽鍺層上的矽層。此受應力之基板可藉由選擇性磊晶生長(selective epitaxial growth;SEG)形成。此外,基板110可包含絕緣體上半導體(semiconductor-on-insulator;SOI)結構。亦或,基板110可包含埋入介電層(buried dielectric layer),諸如埋入氧化物(buried oxide;BOX)層,如藉由氧離子植入隔離(separation by implantation of oxygen;SIMOX)技術、晶圓接合、選擇性磊晶生長或其他適合之方法形成者。基板110中可包含有隔離結構105,如淺溝槽隔離結構(shallow trench isolation,STI)。
至少一半導體鰭112及至少一半導體鰭114形成 在基板110上。在部分實施例中,半導體鰭112及半導體鰭114包含矽。半導體鰭112及半導體鰭114可藉由如光微影技術來圖案化及蝕刻基板110而形成。在部分實施例中,光阻材料層(未圖示)依序地沉積在基板110上方。光阻材料層依據所欲的圖案(在本案例中為半導體鰭112及半導體鰭114)進行照射(曝光)並顯影以移除部分光阻材料。剩餘的光阻材料保護下方的材料避免受到後續的製程步驟(如蝕刻)破壞。應注意,其他遮罩如氧化物或氮化矽遮罩亦可用於蝕刻製程。
形成層間介電質120以覆蓋半導體鰭112、半導體鰭114及基板110。層間介電質120的形成可藉由熱氧化(thermal oxidation)、化學氣相沉積(chemical vapor deposition;CVD)、濺鍍(sputtering)或其他本領域用於形成閘極介電質的習知方法。根據形成介電質的技術,形成在半導體鰭112及114之頂部的層間介電質120的厚度與半導體鰭112及114之側壁(未圖示)上的層間介電質120的厚度不相同。層間介電質120可包含如高介電常數(high k)材料,諸如金屬氧化物、金屬氮化物、金屬矽化物、過渡金屬氧化物、過渡金屬氮化物、過渡金屬矽化物、金屬氮氧化物、金屬鋁酸鹽(metal aluminate)、矽化鋯、鋁酸鋯,或上述之組合。部分實施例可包含二氧化鉿(HfO2)、氧化矽鉿(HfSiO)、氮氧化矽鉿(HfSiON)、氧化鉿鉭(HfTaO)、氧化鉿鈦(HfTiO)、氧化鉿鋯(HfZrO)、氧化鑭(LaO)、氧化鋯(ZrO)、氧化鈦(TiO)、五氧化二鉭(Ta2O5)、氧化釔(Y2O3)、鈦酸鍶(SrTiO3,STO)、鈦酸鋇(BaTiO3,BTO)、氧化鋇鋯(BaZrO)、氧化鉿鑭(HfLaO)、 氧化矽鑭(LaSiO)、氧化矽鋁(AlSiO)、氧化鋁(Al2O3)、氮化矽(Si3N4)、氮氧化矽(SiON),及上述之組合。層間介電質120可為多層結構,如一層為氧化矽(如內介面層)而另一層為高介電常數材料。層間介電質120的形成可藉由化學氣相沉積、物理氣相沉積(physical vapor deposition;PVD)、原子層沉積(atomic layer deposition(ALD)、熱氧化、臭氧氧化,其他適合之製程,或上述之組合。
在層間介電質120上形成虛設閘極130。虛設閘極130具有至少一n型部分102,以及至少一p型部分104。例如,在第1A圖中,虛設閘極130具有一n型部分102及一p型部分104。虛設閘極130可藉由化學氣相沉積、濺鍍沉積,或其他本領域用於沉積導電材料之習知技術來進行沉積。虛設閘極130可包含多晶矽(poly-Si)或多晶矽鍺(poly-SiGe)。
請參照第1B圖。在位於虛設閘極130的n型部分102以外的部分上形成遮罩層210。遮罩層210可為阻層,亦可稱為光阻層、光感層、成像層(imaging layer)、圖案化層,或輻射感應層。遮罩層210包含正光阻材料、負光阻材料、其他型態之材料,或上述之組合。遮罩層210藉由光微影製程形成在虛設閘極130上。光微影製程包含光阻塗佈(如旋塗)、軟烤、遮罩對準(mask aligning)、曝光、曝光後烘烤、顯影光阻、乾燥(如硬烤)、其他適合製程,或上述之組合。或者,光微影製程可由其他方法執行或取代,諸如無遮罩微影、電子束寫入(electron-beam writing),或離子束寫入(ion-beam writing)。又一替代方案,光微影製程執行奈米刻印 (nanoimprint)技術以圖案化遮罩層210。在部分實施例中,微影製程執行蝕刻製程,如乾蝕刻、濕蝕刻、其他蝕刻方法,或上述之組合。清洗製程(rinsing process),諸如去離子(de-ionized;DI)水沖洗,可在形成遮罩層210前對虛設閘極130執行。
遮罩層210包含開口212,開口212曝露虛設閘極130的n型部分102。在第1B圖中,以遮罩層210作為遮罩並對虛設閘極130執行離子植入製程(或摻雜製程)215。在第1B圖中,離子植入製程215使用複數個第一摻雜劑形成摻雜區132。第一摻雜劑包含硼(B)、磷(P)、砷,或上述之組合。
請參照第1C圖。移除遮罩層210(如第1B圖所示)。在部分實施例中,遮罩層210藉由濕蝕刻製程移除。在部分實施例中,用於濕蝕刻製程的濕蝕刻溶液包含卡羅溶液(Caro’s solution),包含硫酸(H2SO4)及過氧化氫(H2O2)。或者,遮罩層210可藉由挑選以下化學溶液來移除:臭氧(O3)水、硫酸及臭氧、硫酸及過氧化氫、N-甲基吡咯酮(N-methyl-2-pyrrolidine;NMP)、環己醇(cyclohexanol)、環戊醇(cyclopentanol)、丙二醇甲醚(propylene glycol monomethyl ether;PGME)、丙二醇甲醚醋酸酯(Propylene glycol monomethyl ether acetate;PGMEA)。在部分實施例中,遮罩層210藉由挑選氧化劑基底之化學溶液來移除。在部分實施例中,執行清潔製程(cleaning process)以清潔移除遮罩層210後的有機殘留物或其他殘留物。清潔材料具有移除有機殘留物的能力。清潔材料包含溶劑、介面活性劑或聚合物原 料。
在位於虛設閘極130的p型部分104以外的部分上形成另一遮罩層220。遮罩層220可為阻層,亦可稱為光阻層、光感層、成像層(imaging layer)、圖案化層,或輻射感應層。遮罩層220包含正光阻材料、負光阻材料、其他型態之材料,或上述之組合。遮罩層210藉由光微影製程形成在虛設閘極130上。光微影製程包含光阻塗佈(如旋塗)、軟烤、遮罩對準、曝光、曝光後烘烤、顯影光阻、乾燥(如硬烤)、其他適合製程,或上述之組合。或者,光微影製程可由其他方法執行或取代,諸如無遮罩微影、電子束寫入,或離子束寫入。又一替代方案,光微影製程執行奈米刻印(nanoimprint)技術以圖案化遮罩層220。在部分實施例中,微影製程執行蝕刻製程,如乾蝕刻、濕蝕刻、其他蝕刻方法,或上述之組合。清洗製程(rinsing process),諸如去離子(de-ionized(DI)水沖洗,可在形成遮罩層220前對虛設閘極130執行。
遮罩層220包含開口222,開口222曝露虛設閘極130的p型部分104。在第1C圖中,以遮罩層220作為遮罩對虛設閘極130執行離子植入製程(或摻雜製程)225。在第1C圖中,離子植入製程225使用複數個第二摻雜劑形成摻雜區134。第二摻雜劑包含硼、磷、砷,或上述之組合。
請參照第1D圖。移除遮罩層220(如第1C圖所示)。在部分實施例中,遮罩層220藉由濕蝕刻製程移除。在部分實施例中,用於濕蝕刻製程的濕蝕刻溶液包含卡羅溶液,包含硫酸及過氧化氫。或者,遮罩層220可藉由挑選以下化學溶 液來移除:臭氧水、硫酸及臭氧、硫酸及過氧化氫、N-甲基吡咯酮、環己醇、環戊醇、丙二醇甲醚、丙二醇甲醚醋酸酯。在部分實施例中,遮罩層220藉由挑選氧化劑基底之化學溶液來移除。在部分實施例中,執行清潔製程以清潔移除遮罩層220後的有機殘留物或其他殘留物。清潔材料具有移除有機殘留物的能力。清潔材料包含溶劑、介面活性劑或聚合物原料。
接著,在虛設閘極130層的摻雜區132及134上形成圖案化之遮罩層。圖案化之遮罩層包含遮罩232及234。遮罩232位於虛設閘極130的摻雜區132上,而遮罩234位於虛設閘極130的摻雜區134上。遮罩232及234分別界定了位於半導體鰭112及114上的閘極結構之輪廓。
請參照第1E圖。藉由第1D圖中的遮罩232及234對虛設閘極130的摻雜區132及134進行圖案化以分別形成虛設閘極結構136及虛設閘極結構138。摻雜區132及134可藉由蝕刻製程圖案化,諸如乾電漿蝕刻製程或濕蝕刻製程。
在圖案化製程後,移除第1D圖中的遮罩232及234。層間介電質120中未被虛設閘極結構136及138覆蓋的部分在蝕刻製程間可移除或不移除。在案例中,一些層間介電質120保留在未被虛設閘極結構136及138覆蓋的半導體鰭112及114上,可藉由乾或濕蝕刻依序移除層間介電質120以形成閘極介電質122及124。
由於第1D圖中的虛設閘極130之摻雜區132及134包含不同型態之摻雜劑,摻雜區132及134的蝕刻速率不同。因此,當摻雜區132及134同時進行蝕刻時,不同輪廓的 虛設閘極結構(例如虛設閘極結構136及138)可在同一蝕刻製程期間形成。例如,在第1E圖中,虛設閘極結構136具有實質上垂直之側壁而虛設閘極結構138具有足部輪廓(footing profile)。
更詳細而言,虛設閘極結構136具有底表面136b及至少一側壁136s。底表面136b及側壁136s交會以形成內角θ1。內角θ1為虛設閘極結構136內的角度。在第1E圖中,內角θ1實質上為直角。意即,內角θ1實質上為90度。從另一觀點來描述,虛設閘極結構136包含頂部部分137t及配置頂部部分137t和基板110間的底部部分137b。頂部部分137t具有寬度Wt1,而底部部分137b具有寬度Wb1。底部部分137b之寬度Wb1實質上等於頂部部分137t之寬度Wt1。這裡使用詞彙「實質上」可用於修飾任何定量表示(quantitative representation),其可允許在對相關事物的基本功能造成改變的變化。
此外,虛設閘極結構138具有底表面138b及至少一側壁138s。底表面138b及側壁138s交會以形成內角θ2。內角θ2為虛設閘極結構138內的角度。在第1E圖中,內角θ2為銳角。意即,內角θ2小於90度。從另外一觀點來描述,虛設閘極結構138包含頂部部分139t及配置於頂部部分139t和基板110之間的底部部分139b。頂部部分139t具有寬度Wt2,底部部分139b具有寬度Wb2。底部部分139b之寬度Wb2大於頂部部分139t之寬度Wt2。
然而,虛設閘極結構136及138的輪廓並不限制於 此態樣。第2A圖及第2B圖為依據本揭露之實施例之半導體元件在第1E圖之步驟的剖面圖。在第2A圖及第2B圖中,內角θ1為鈍角。意即,內角θ1大於90度。此外,底部部分137b之寬度Wb1窄於頂部部分137t之寬度Wt1。因此,第2A圖及第2B圖中的虛設閘極結構136具有凹口輪廓(notch profile)。
再者,第2A圖中,內角θ2實質上為直角。意即,內角θ2實質上為90度。此外,底部部分139b的寬度Wb2實質上等於頂部部分139t的寬度Wt2。第2B圖中底部部分139b的寬度Wb2窄於頂部部分139t的寬度Wt2。因此,第2B圖中的虛設閘極結構138具有凹口輪廓。
在第1E圖、第2A圖及第2B圖中,內角θ1大於內角θ2。此外,寬度Wb1、Wb2、Wt1、Wt2滿足(Wb2-Wt2)>(Wb1-Wt1)的關係式。再者,虛設閘極結構136的寬度Wt1實質上等於虛設閘極結構138的寬度Wt2。
請參照第1F圖。在基板110上並沿著虛設閘極結構136形成一對閘極間隔層142,以及在基板110上並沿著虛設閘極結構138形成一對閘極間隔層144。在部分實施例中,閘極間隔層142及144可包含氧化矽、氮化矽、氮氧化矽,或其他適合之材料。閘極間隔層142及144可包含單層或多層結構。為形成閘極間隔層142及144,可利用化學氣相沉積、物理氣相沉積、原子層沉積或適合之技術在基板110上形成毯覆層(blanket layer)。接著,對毯覆層執行異向性蝕刻以分別在虛設閘極結構136及138的兩側形成閘極間隔層142及144。在部分實施例中,閘極間隔層142及144用來偏移隨後形成的摻 雜區,如源/汲極區。閘極間隔層142及144可進一步用於設計或調整源/汲極區(介面)的輪廓。
請參照第1G圖。從虛設閘極結構136及138與閘極間隔層142及144曝露的半導體鰭112及114之部分被移除(或開槽)以在基板110內形成凹槽112r及114r。可移除任何適量的材料。保留的半導體鰭112具有複數個源/汲極部分112s及通道部分112c,而保留的半導體鰭114具有複數個源/汲極部分114s及通道部分114c。源/汲極部分112s及114c嵌入至基板110中,源/汲極部分自凹槽112r及114r中曝露。通道部分112c及114c分別配置於虛設閘極結構136及138下。
移除半導體鰭112及114的部分包含在第1F圖的結構上方形成光阻層或封端層(諸如氧化封端層),圖案化光阻層或封端層以形成曝露半導體鰭112及114的開口,並對半導體鰭112及114的材料進行回蝕刻。在部分實施例中,半導體鰭112及114可使用乾蝕刻來蝕刻。或者,蝕刻製程為濕蝕刻製程,或乾及濕蝕刻製程。移除可包含微影製程以協助蝕刻製程。微影製程可包含光阻塗佈(如旋塗)、軟烤、遮罩對準、曝光、曝光後烘烤、顯影光阻、清洗、乾燥(如硬烤),其他適合製程,或上述之組合。或者,微影製程可由其他方法執行或取代,諸如無遮罩光微影、電子束寫入,或離子束寫入。又一替代方案,光微影製程執行奈米刻印。在部分實施例中,可使用氟化氫(HF)或其他適合之溶液來執行預先清潔(pre-cleaning)製程以清潔凹槽112r及114r。
請參照第1H圖。複數個磊晶結構152及154分別 形成在凹槽112r及114r內以及半導體鰭112及114的源/汲極部分112s及114s上。磊晶結構152及154可由一個或多個磊晶或磊晶製程形成,使得矽特徵、矽鍺特徵,及/或其他適合的特徵可以晶體狀態形成在半導體鰭112及114的源/汲極部分112s及114s上。在部分實施例中,磊晶結構152及154的晶格常數與半導體鰭112及114的晶格常數不同,因此磊晶結構152及154受到應力或壓力以賦能半導體元件的載子遷移率並強化元件效能。磊晶製程包含化學氣相沉積技術(如氣相磊晶(vapor-phase epitaxy;VPE)及/或超高真空化學氣相沉積(ultra-high vacuum CVD;UHV-CVD))、分子束磊晶(molecular beam epitaxy),及/或其他適合之製程。磊晶製程可使用氣體及/或液體前驅物,與半導體鰭112及114的源/汲極部分112s及114s(如矽)進行反應。因此,可使通道受到應力以增加載子遷移率並提升元件效能。磊晶結構152及154可為原位摻雜(in-situ doped)。摻雜物種包含p型摻雜劑,如硼或二氟化硼(BF2);n型摻雜劑,如磷或砷;及/或其他上述之組合的適合之摻雜劑。若磊晶結構152及154非原位摻雜,可執行第二植入製程以摻雜磊晶結構152及154。可執行一個或多個退火製程以活化磊晶結構152及154。退火製程可包含快速熱退火(rapid thermal annealing;RTA)及/或雷射退火製程。
接著,在閘極間隔層142及144的外緣和基板110上形成介電層160。介電層160包含氧化矽、氮氧化矽及/或其他適合之技術,如化學氣相沉積或原子層沉積。可執行化學機械研磨(chemical mechanical planarization;CMP)製程移除 多餘的介電層160並曝露虛設閘極結構136及138的頂表面以利後續的虛設閘極移除製程之進行。
請參照第1I圖,移除虛設閘極結構136及138(如第1H圖所示)以形成開口162及164,開口162及164分別以閘極間隔層142及144作為側壁。開口162及164具有不同輪廓。或,開口164具有比開口162還大之容置窗。在部分實施例中,閘極介電質122及124亦被移除。或者,在部分實施例中,虛設閘極結構136及138被移除而閘極介電質122及124被保留,如第1I圖所示。虛設閘極結構136及138(以及閘極介電質122及124)可透過乾蝕刻、濕蝕刻,或乾溼蝕刻的組合來移除。例如,濕蝕刻製程可包含浸泡在氫氧根溶液(hydroxide containing solution),如氫氧化銨,亦可浸泡在去離子水,及/或其他適合之蝕刻溶液。
請參照第1J圖。可形成保護層(未圖示)及p型功函數材料172在第1I圖所示之結構上方。保護層,例如氮化鉭,可在後續之界定p型功函數金屬層172p(如第1K圖所示)的製造過程中保護下方的結構。p型功函數材料172可提供p型半導體元件20(如第1M圖所示)之金屬閘極結構所欲之功函數值。保護材料及p型功函數材料172可由適合之製程形成,如原子層沉積、化學氣相沉積、物理氣相沉積、遠程電漿化學氣相沉積(remote plasma CVD;RPCVD)、電漿輔助化學氣相沉積(plasma enhanced CVD;PECVD)、有機金屬化學氣相沉積(metal organic CVD;MOCVD)、濺鍍、電鍍、或其他適合之製程,及/或上述之組合。在部分實施例中,p型功函數材料 172可由氮化鈦、鈷、氮化鎢,或碳化鉭組成。
可形成介電材料240,如旋塗式玻璃(spin-on-glass;SOG),以覆蓋部分p型功函數材料172並填補開口164。可在介電材料240上方形成光阻245。介電材料240及/或光阻245可用於圖案化p型半導體元件20之p型功函數材料172。介電材料240及光阻245可由,例如,旋塗製程、光微影製程、蝕刻製程來形成。
請參照第1K圖。可移除p型功函數材料172未被介電材料240和光阻245(如第1J圖所示)覆蓋之部分,並界定p型功函數金屬層172p。在界定p型功函數金屬層172p之後,可藉由濕蝕刻、乾蝕刻,或上述之組合來移除介電材料240和光阻245(如第1J圖所示),並曝露p型功函數金屬層172p。
請參照第1L圖。可形成n型功函數材料174在第1K圖所示之結構上方。n型功函數材料174可提供n型半導體元件10(如第1M圖所示)之金屬閘極結構所欲之功函數值。n型功函數材料174可由適合之製程形成,如原子層沉積、化學氣相沉積、物理氣相沉積、遠程電漿化學氣相沉積(remote plasma CVD;RPCVD)、電漿輔助化學氣相沉積(plasma enhanced CVD;PECVD)、有機金屬化學氣相沉積(metal organic CVD;MOCVD)、濺鍍、電鍍、或其他適合之製程,及/或上述之組合。在部分實施例中,n型功函數材料174可由鈦、鋁、鈦鋁(TiAl)組成。
留下的開口162及164隨後由金屬層176填補。在部分實施例中,金屬層176包含鎢。金屬層176可藉由原子層 沉積、物理氣相沉積、化學氣相沉積,或其他適合製程來進行沉積。在部分實施例中,金屬層176包含鋁、銅,或其他適合的導電材料。
請參照第1M圖。在部分實施例中,執行化學機械研磨製程來移除過多的p型功函數金屬層172p,第1L圖中的n型功函數材料174及金屬層176對p型功函數金屬層172p、n型功函數金屬層174n及174p以及金屬層176n及176p提供了實質上平坦的頂表面。留下的n型功函數金屬層174n及金屬層176n形成位於開口162內的金屬閘極結構182,而留下的p型功函數金屬層172p、n型功函數金屬層174p及金屬層176p形成位於開口164內的金屬閘極結構184。應注意上述之金屬閘極結構182及184的形成僅為描述性質,而不應限制本案所欲保護之範疇。本技術領域具有通常知識者可依據實際情況挑選適當之製造製程來形成金屬閘極結構182及184。
在第1M圖中,半導體鰭112、磊晶結構152及金屬閘極結構182(或第1E圖之虛設閘極結構136)形成n型半導體元件10,而半導體鰭114、磊晶結構154及金屬閘極結構184(或第1E圖之虛設閘極結構138)形成p型半導體元件20。在第1M圖中,n型半導體元件10及p型半導體元件20皆為鰭式場效電晶體,而n型半導體元件10及p型半導體元件20可構成互補式金屬氧化物半導體(complementary metal-oxide-semiconductor;CMOS)元件。
在第1M圖中,由於開口164具有比開口162還大之容置窗,更多的層或材料可位於開口164內。例如,在第1M 圖中,金屬閘極結構184包含至少三層(如p型功函數金屬層172p、n型功函數金屬層174p及金屬層176p),而金屬閘極結構182包含至少二層(如n型功函數金屬層174n及金屬層176n)。在這樣的配置下,可提升n型半導體元件10及p型半導體元件20的電學特性,諸如開電流(Ion)及關電流(Ioff)。
在第1M圖中,金屬閘極結構182具有底表面182b及至少一側壁182s。底表面182b及至少一側壁182s交會以形成內角θ3。內角θ3為金屬閘極結構182內的角。在第1M圖中,內角θ3實質上為直角。意即,內角θ3實質上為90度。從另一觀點來描述,金屬閘極結構182包含頂部部分183t及配置於頂部部分183t和基板110之間的底部部分183b。頂部部分183t具有寬度Wt1’,而底部部分183b具有寬度Wb1’。底部部分183b之寬度Wb1’實質上等於頂部部分183t之寬度Wt1’。
然而,金屬閘極結構182的輪廓並不限制於本態樣。請參照第3A圖及第3B圖。在第3A圖及第3B圖中,內角θ3為鈍角。意即,內角θ3大於90度。此外,底部部分183b的寬度Wb1’窄於頂部部分183t的寬度Wt1’。因此,第3A圖及第3B圖中的金屬閘極結構182具有凹口輪廓。
此外,在第1M圖中,金屬閘極結構184具有足部輪廓。更詳細而言,金屬閘極結構184具有底表面184b及至少一側壁184s。底表面184b及側壁184s交會以形成內角θ4。內角θ4為金屬閘極結構184內的角。在第1M圖中,內角θ4為銳角。意即,內角θ4小於90度。從另一觀點來描述,金屬閘極結構184包含頂部部分185t及配置在頂部部分185t及基板110 之間的底部部分185b。頂部部分185t具有寬度Wt2’,而底部部分185b具有寬度Wb2’。底部部分185b之寬度Wb2’大於頂部部分185t之寬度Wt2’。
然而,金屬閘極結構184之輪廓並不限制於本態樣。第3A圖及第3B圖為依據本揭露之實施例之半導體元件在第1M圖之步驟的剖面圖。在第3A圖中,內角θ4實質上為直角。意即,內角θ4實質上為90度。此外,底部部分185b之寬度Wb2’實質上等於頂部部分185t之寬度Wt2’。在第3B圖中,內角θ4大於90度。此外,底部部分185b之寬度Wb2’窄於頂部部分185t之寬度Wt2’。因此,第3B圖中之金屬閘極結構184具有凹口輪廓。
在第1M圖、第3A圖及第3B圖中,內角θ3大於內角θ4。此外,寬度Wb1’、Wb2’、Wt1’及Wt2’滿足關係式(Wb2’-Wt2’)>(Wb1’-Wt1’)。再者,金屬閘極結構182之寬度Wt1’實質上等於金屬閘極結構184之寬度Wt2’。
根據上述之實施例,由於摻雜不同之摻雜劑進入虛設閘極層並執行蝕刻製程,使n型半導體元件及p型半導體元件的閘極結構(如虛設閘極結構及/或金屬閘極結構)的輪廓可為不同。在此配置下,p型半導體元件的開口之容置窗較大,且可容納更多層。因此,可提升n型半導體元件及p型半導體元件之電學特性(如開電流或關電流)。
根據部分實施例,積體電路包含基板、至少一n型半導體元件,以及至少一p型半導體元件。n型半導體元件位於基板上。n型半導體元件包含具有底表面及至少一側壁的閘 極結構。n型半導體元件之閘極結構之底表面和n型半導體元件之閘極結構之側壁交會以形成一內角。p型半導體元件位於基板上。型半導體元件包含具有底表面及至少一側壁的閘極結構。p型半導體元件之閘極結構之底表面和p型半導體元件之閘極結構之側壁交會以形成一內角,此內角小於n型半導體元件之閘極結構之內角。
根據部分實施例,積體電路包含基板、至少一n型半導體元件,以及至少一p型半導體元件。n型半導體元件位於基板上。n型半導體元件包含第一閘極結構。第一閘極結構包含頂部部分及位於頂部部分與基板之間的底部部分。頂部部分具有第一頂部寬度,而底部部分具有第一底部寬度。p型半導體元件位於基板上。p型半導體元件包含第二閘極結構。第二閘極結構包含頂部部分及位於頂部部分與基板之間的底部部分。頂部部分具有第二頂部寬度,而底部部分具有第二底部寬度。第一閘極結構和第二閘極結構實質上滿足:(Wb1-Wt1)>(Wb2-Wt2),其中Wb1為第一閘極結構之底部部分的第一底部寬度,Wt1為第一閘極結構之頂部部分的第一頂部寬度,Wb2為第二閘極結構之底部部分的第二底部寬度,而Wt2為第二閘極結構之頂部部分的第二頂部寬度。
根據部分實施例,用於製造積體電路之方法包含在基板上形成閘極層。閘極層具有第一部分及第二部分。使用複數個第一摻雜劑摻雜閘極層之第一部分,而在使用第一摻雜劑的同時維持閘極層之第二部分為未摻雜。圖案化至少閘極之第一部分及第二部分以分別形成第一閘極結構與第二閘極結 構。
上文概述若干實施例之特徵,使得熟習此項技術者可更佳理解本發明之樣態。熟習此項技術者應瞭解,可輕易使用本發明作為基礎來設計或修改其他製程及結構,以便實施本文所介紹之實施例的相同目的及/或實現相同優勢。熟習此項技術者亦應認識到,此類等效結構並未脫離本發明之精神及範疇,且可在不脫離本發明之精神及範疇的情況下對本文內容進行各種變化、替代及更改。

Claims (8)

  1. 一種積體電路,包含:一基板;至少一n型半導體元件,位於該基板上,其中該n型半導體元件包含一閘極結構,該閘極結構具有一底表面及至少一側壁,且該n型半導體元件之該閘極結構的該底表面與該n型半導體元件之該閘極結構的該側壁交會以形成一內角;以及至少一p型半導體元件,位於該基板上,其中該p型半導體元件包含一閘極結構,該閘極結構具有一底表面及至少一側壁,且該p型半導體元件之該閘極結構的該底表面與該p型半導體元件之該閘極結構的該側壁交會以形成一內角,該內角小於該n型半導體元件之該閘極結構的該內角;其中該p型半導體元件之該閘極結構包含複數個層,而其中該n型半導體元件之該閘極結構包含複數個層,該p型半導體元件之該閘極結構的該些層之數目大於該n型半導體元件之該閘極結構的該些層之數目。
  2. 如請求項1所述之積體電路,其中該n型半導體元件之該閘極結構的該內角為一鈍角。
  3. 如請求項1所述之積體電路,其中該p型半導體元件之該閘極結構的該內角為一銳角。
  4. 如請求項1所述之積體電路,其中該n型半 導體元件之該閘極結構更包含:一半導體鰭,配置於該n型半導體元件之該閘極結構與該基板之間。
  5. 如請求項1所述之積體電路,其中該p型半導體元件之該閘極結構更包含:一半導體鰭,配置於該p型半導體元件之該閘極結構與該基板之間。
  6. 一種積體電路,包含:一基板;至少一n型半導體元件,位於該基板上,其中該n型半導體元件包含一第一閘極結構,該第一閘極結構包含一頂部部分及一底部部分,該底部部分位於該頂部部分及該基板之間,該頂部部分具有一第一頂部寬度,而該底部部分具有一第一底部寬度;以及至少一p型半導體元件,位於該基板上,其中該p型半導體元件包含一第二閘極結構,該第二閘極結構包含一頂部部分及一底部部分,該底部部分位於該頂部部分及該基板之間,該頂部部分具有一第二頂部寬度,該底部部分具有一第二底部寬度,且該第一閘極結構與該第二閘極結構實質上滿足:(Wb2-Wt2)>(Wb1-Wt1),其中Wb1為該第一閘極結構之該底部部分的該第一底部寬度,Wt1為該第一閘極結構之該頂部部分的該第一頂部寬度,Wb2為該第二閘極結構之該 底部部分的該第二底部寬度,而Wt2為該第二閘極結構之該頂部部分的該第二頂部寬度。
  7. 一種製造積體電路之方法,包含:在一基板上形成一閘極層,該閘極層具有一第一部分及一第二部分;使用複數個第一摻雜劑摻雜該閘極層之第一部分且在使用該些第一摻雜劑的同時維持該閘極層的第二部分為未摻雜的狀態;圖案化至少該閘極層之該第一部分及該第二部分以分別形成一第一閘極結構及一第二閘極結構;形成至少二間隔層於該第一閘極結構之相對側壁上;移除該第一閘極結構以在該些間隔層之間形成一開口;以及在該開口內形成一金屬閘極結構。
  8. 如請求項7所述之方法,更包含:使用複數個第二摻雜劑摻雜該閘極層之該第二部分,其中該些第二摻雜劑與該些第一摻雜劑不同。
TW105123751A 2016-01-21 2016-07-27 積體電路及其製造方法 TWI626692B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201662281459P 2016-01-21 2016-01-21
US62/281,459 2016-01-21
US15/074,985 US9985031B2 (en) 2016-01-21 2016-03-18 Integrated circuit and manufacturing method thereof
US15/074,985 2016-03-18

Publications (2)

Publication Number Publication Date
TW201738961A TW201738961A (zh) 2017-11-01
TWI626692B true TWI626692B (zh) 2018-06-11

Family

ID=59359225

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105123751A TWI626692B (zh) 2016-01-21 2016-07-27 積體電路及其製造方法

Country Status (3)

Country Link
US (4) US9985031B2 (zh)
CN (1) CN106992153B (zh)
TW (1) TWI626692B (zh)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10164049B2 (en) * 2014-10-06 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and formation method of semiconductor device with gate stack
US9893060B2 (en) * 2015-12-17 2018-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
DE102017126027B4 (de) 2017-07-31 2022-02-24 Taiwan Semiconductor Manufacturing Co., Ltd. Metallgatestruktur und Verfahren
US10283503B2 (en) * 2017-07-31 2019-05-07 Taiwan Semiconductor Manufacturing Co., Ltd. Metal gate structure and methods thereof
US10811320B2 (en) * 2017-09-29 2020-10-20 Taiwan Semiconductor Manufacturing Company, Ltd. Footing removal in cut-metal process
US11063065B2 (en) * 2018-07-06 2021-07-13 Samsung Electronics Co., Ltd. Semiconductor device having a negative capacitance using ferroelectrical material
US11282938B2 (en) 2018-09-28 2022-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Capping layers in metal gates of transistors

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6475841B1 (en) * 2000-06-02 2002-11-05 Motorola, Inc. Transistor with shaped gate electrode and method therefor
US20090218603A1 (en) * 2005-06-21 2009-09-03 Brask Justin K Semiconductor device structures and methods of forming semiconductor structures
US7910422B2 (en) * 2007-12-31 2011-03-22 Texas Instruments Incorporated Reducing gate CD bias in CMOS processing
US20120034747A1 (en) * 2009-10-02 2012-02-09 United Microelectronics Corp. Method for fabricating semiconductor device
TW201436228A (zh) * 2013-01-02 2014-09-16 Samsung Electronics Co Ltd 場效電晶體
TW201517272A (zh) * 2013-10-30 2015-05-01 Taiwan Semiconductor Mfg Co Ltd 半導體元件及其形成方法

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW362289B (en) * 1997-12-22 1999-06-21 United Microelectronics Corp Manufacturing method of metal oxide semiconductor field effect transistor
KR100572316B1 (ko) * 2002-10-11 2006-04-19 삼성전자주식회사 역티 형태의 게이트 전극을 갖는 모스 트랜지스터들 및 그제조방법들
JP2006100599A (ja) * 2004-09-29 2006-04-13 Toshiba Corp 半導体装置及びその製造方法
KR100875655B1 (ko) * 2007-01-04 2008-12-26 주식회사 하이닉스반도체 반도체 소자의 제조방법
JP5149539B2 (ja) * 2007-05-21 2013-02-20 ルネサスエレクトロニクス株式会社 半導体装置
JP5130834B2 (ja) * 2007-09-05 2013-01-30 ソニー株式会社 半導体装置およびその製造方法
US7927943B2 (en) * 2008-09-12 2011-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method for tuning a work function of high-k metal gate devices
US8173504B2 (en) * 2010-04-12 2012-05-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating gate electrode using a treated hard mask
KR101163224B1 (ko) * 2011-02-15 2012-07-06 에스케이하이닉스 주식회사 듀얼 폴리게이트 형성방법 및 이를 이용한 반도체소자의 제조방법
JP5847537B2 (ja) * 2011-10-28 2016-01-27 ルネサスエレクトロニクス株式会社 半導体装置の製造方法および半導体装置
US8664729B2 (en) * 2011-12-14 2014-03-04 Taiwan Semiconductor Manufacturing Company, Ltd. Methods and apparatus for reduced gate resistance finFET
US20130178055A1 (en) * 2012-01-09 2013-07-11 Globalfoundries Inc. Methods of Forming a Replacement Gate Electrode With a Reentrant Profile
KR20130127257A (ko) * 2012-05-14 2013-11-22 삼성전자주식회사 반도체 장치 및 그 제조 방법
KR102394887B1 (ko) * 2014-09-01 2022-05-04 삼성전자주식회사 반도체 장치의 제조 방법
KR102292812B1 (ko) * 2015-08-18 2021-08-23 삼성전자주식회사 반도체 장치
KR102292813B1 (ko) * 2015-10-14 2021-08-23 삼성전자주식회사 반도체 장치 및 이의 제조 방법
KR20170047953A (ko) * 2015-10-26 2017-05-08 삼성전자주식회사 반도체 장치 및 그 제조 방법

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6475841B1 (en) * 2000-06-02 2002-11-05 Motorola, Inc. Transistor with shaped gate electrode and method therefor
US20090218603A1 (en) * 2005-06-21 2009-09-03 Brask Justin K Semiconductor device structures and methods of forming semiconductor structures
US7910422B2 (en) * 2007-12-31 2011-03-22 Texas Instruments Incorporated Reducing gate CD bias in CMOS processing
US20120034747A1 (en) * 2009-10-02 2012-02-09 United Microelectronics Corp. Method for fabricating semiconductor device
TW201436228A (zh) * 2013-01-02 2014-09-16 Samsung Electronics Co Ltd 場效電晶體
TW201517272A (zh) * 2013-10-30 2015-05-01 Taiwan Semiconductor Mfg Co Ltd 半導體元件及其形成方法

Also Published As

Publication number Publication date
TW201738961A (zh) 2017-11-01
US20180277544A1 (en) 2018-09-27
US9985031B2 (en) 2018-05-29
CN106992153B (zh) 2020-06-30
CN106992153A (zh) 2017-07-28
US10763258B2 (en) 2020-09-01
US20170213828A1 (en) 2017-07-27
US20200395360A1 (en) 2020-12-17
US11411001B2 (en) 2022-08-09
US20220367464A1 (en) 2022-11-17

Similar Documents

Publication Publication Date Title
TWI626692B (zh) 積體電路及其製造方法
TWI677984B (zh) 具有鰭及閘極結構之半導體結構及積體電路之製造方法
US10410930B2 (en) Nonplanar device and strain-generating channel dielectric
TWI608543B (zh) 半導體裝置與其製造方法
CN104835838B (zh) 具有不同宽度的栅极结构及其制造方法
US10510755B2 (en) Semiconductor device and manufacture method thereof
US10872890B2 (en) Semiconductor device
US11387346B2 (en) Gate patterning process for multi-gate devices
TWI595664B (zh) 半導體元件及其形成方法
US10854599B2 (en) Semiconductor device and manufacturing method thereof
US20210257361A1 (en) Semiconductor device and manufacturing method thereof
CN106960875B (zh) 半导体装置及其制造方法
US9799566B1 (en) Semiconductor device and manufacturing method thereof
US10971606B2 (en) Method for manufacturing semiconductor device
CN115841983A (zh) 半导体结构及其形成方法