TWI564993B - 在積體電路中形成金屬矽化物區域的方法 - Google Patents

在積體電路中形成金屬矽化物區域的方法 Download PDF

Info

Publication number
TWI564993B
TWI564993B TW101125845A TW101125845A TWI564993B TW I564993 B TWI564993 B TW I564993B TW 101125845 A TW101125845 A TW 101125845A TW 101125845 A TW101125845 A TW 101125845A TW I564993 B TWI564993 B TW I564993B
Authority
TW
Taiwan
Prior art keywords
region
metal
telluride
germanide
layer
Prior art date
Application number
TW101125845A
Other languages
English (en)
Other versions
TW201306174A (zh
Inventor
沃德麥克G
佩德斯伊格V
Original Assignee
應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 應用材料股份有限公司 filed Critical 應用材料股份有限公司
Publication of TW201306174A publication Critical patent/TW201306174A/zh
Application granted granted Critical
Publication of TWI564993B publication Critical patent/TWI564993B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Semiconductor Memories (AREA)

Description

在積體電路中形成金屬矽化物區域的方法
本發明的實施例一般與用於積體電路的基板處理方法有關。
積體電路製造包括許多步驟,該等步驟可能很複雜且昂貴。舉例而言,在積體電路中形成金屬矽化物的一個範例程序包括下列步驟:提供具有第一區域及第二區域的基板,並沉積第一經圖案化的光阻層,以暴露第一區域。以摻質摻雜第一區域,以控制第一區域中的電阻率。 一旦完成第一區域的摻雜,移除第一經圖案化的遮罩層,並形成第二經圖案化的遮罩層,以暴露第二區域。第二經圖案化的遮罩層為硬式遮罩,如氮化矽(SiN)。將諸如鎳(Ni)等的金屬層沉積於經暴露的第二區域的頂部,並使金屬層經退火處理以在經暴露的第二區域中形成金屬矽化物。最後,在完成退火處理後,可移除第二遮罩層及任何餘留的非矽化金屬。
本案發明人已提供於積體電路中形成金屬矽化物的改良方法。
本文提供於積體電路中形成金屬矽化物區域的方法。 在某些實施例中,於積體電路中形成金屬矽化物區域的方法包括下列步驟:在基板的第一區域中形成矽化物-電阻區域,基板具有第一區域及第二區域,其中遮罩層沉積於基板的頂部並經圖案化以暴露第一區域;在於基板的第一區域中形成矽化物-電阻區域之後,移除遮罩層;於第一區域的第一表面及第二區域的第二表面上沉積含金屬層;以及退火處理沉積的含金屬層,以於第二區域中形成第一金屬矽化物區域。
在某些實施例中,形成矽化物-電阻區域可進一步包括下列步驟:控制矽化物-電阻區域中之某來源元素的濃度,或矽化物-電阻區域自第一表面延伸進入第一區域的深度中之至少一者,以控制形成於第一區域中之金屬矽化物的量。在某些實施例中,增加矽化物-電阻區域中之來源元素的濃度可縮減形成於第一區域中之第二金屬矽化物區域的尺寸。在某些實施例中,增加矽化物-電阻區域自第一表面延伸進入第一區域的深度可縮減形成於第一區域中之第二金屬矽化物區域的尺寸。在某些實施例中,在退火處理含金屬層之後,沒有金屬矽化物形成於第一區域中。
在某些實施例中,在積體電路中形成金屬矽化物區域的方法包括下列步驟:提供具有第一區域及第二區域的基板,且遮罩層沉積於基板的頂部並經圖案化以暴露第一區域;以摻質摻雜經暴露的第一區域,以控制第一區域的電阻率;提供來源元素至經摻雜的第一區域,以在 經摻雜的第一區域中形成矽化物-電阻區域,其中來源元素包含碳(C)或氮(N)中之至少一者;在經摻雜的第一區域中形成矽化物-電阻區域之後,移除遮罩層;於經摻雜的第一區域的第一表面及第二區域的第二表面上,沉積含金屬層;退火處理經沉積的含金屬層,以於第二區域中形成第一金屬矽化物區域;以及在第二區域中形成第一金屬矽化物區域之後,自第一區域及第二區域上方移除來自沉積含金屬層所餘留的非矽化金屬。
在某些實施例中,可提供半導體結構,例如,供使用於積體電路中。半導體結構可包括:基板;第一區域設置於基板中,其中第一區域包括電阻率調整元素及矽化物-電阻元素;第二區域設置於基板中,第二區域與第一區域相鄰;第一金屬矽化物層設置於第一區域的頂部;以及第二金屬矽化物層設置於第二區域的頂部,其中第一金屬矽化物層比第二金屬矽化物層更薄。
其它及進一步之本發明的實施例描述於下文。
本文揭露了用以在積體電路中形成金屬矽化物區域的方法。本發明的方法可有利地減少在積體電路中形成金屬矽化物區域所需之製程步驟的數目及/或複雜度。舉例而言,矽化物-電阻區域的使用可消除製造製程中對諸如氮化矽(SiN)硬式遮罩層等硬式遮罩層的需求。進而,本 發明的方法可有利地用來控制積體電路的電阻層中之電阻率。舉例而言,以諸如硼(B)、磷(P)或砷(As)等活性摻質進行的摻雜可用來控制電阻率。舉例而言,可在沒有矽化物形成的情況下,將電阻率控制在自每平方約0.1千歐姆至約10千歐姆的範圍內,且當矽化物形成時,可將電阻率控制在低至每平方約20歐姆。因此,本發明的實施例可提供額外的電阻率控制機制。
某些積體電路可能需要在電晶體結構中形成低電阻率的矽化物,也需要形成高電阻率的被動電阻器結構。第1圖描繪在積體電路形成金屬矽化物區域之方法100的流程圖。根據第2A至2G圖及第3A至3C圖所圖解的一系列製造步驟於下文描述方法100。在某些實施例中,可於環形源電漿離子浸沒佈植反應器(例如,就第4圖於下文描述之反應器400)中進行方法100的至少某些部分(儘管可替代地使用其它合適的製程腔室)。
方法100通常起始於步驟102,其中可將遮罩層202沉積於基板200的頂部,基板200具有第一區域204及第二區域206,如第2A圖所示。舉例而言,第一區域204可對應積體電路的矽基電阻器,且第二區域206可對應積體電路的電晶體區域。然而,第一區域及第二區域204、206並不分別限於如上所論述之電阻器及電晶體區域。舉例而言,第一區域204可對應電容器區域、內連線結構等。本發明的方法100可被應用於內連線結構的形成,如矽穿孔(through silicon via;TSV)應用、微機電 系統(micro-electro-mechanical-system;MEMS)技術等。
第一區域204具有第一表面203,且第二區域206具有第二表面205,遮罩層202可沉積於第一表面203及第二表面205上。基板200可為任何適合的基板,如矽基板、III-V族化合物基板、矽鍺(SiGe)基板、磊晶基板、絕緣體上矽(silicon-on-insulator:SOI)基板、如液晶顯示器(liquid crystal display;LCD)、電漿顯示器、電致發光(electro luminescence;EL)燈泡顯示器等顯示器基板、發光二極體(light emitting diode;LED)基板、太陽能電池陣列、太陽能板等。在某些實施例中,基板200可為半導體晶圓(例如,200mm、300mm等的矽晶圓)。在某些實施例中,第一區域204及第二區域206包含矽。在某些實施例中,遮罩層202可為光阻。範例光阻可包括由聚(甲基丙烯酸甲酯)(poly(methyl methacrylate);PMMA)、聚(甲基戊二酰亞胺)(poly(methyl glutarimide);PMGI)、酚甲醛樹脂(phenol formaldehyde resin)(DNQ/novolac)等之一或多者所形成的光阻。
於步驟104,遮罩層202可經圖案化以暴露基板200的第一區域204。舉例而言,如第2B圖所示,一旦遮罩層202的圖案化完成之後,第一區域204可被暴露,同時第二區域206仍由遮罩層202覆蓋。可藉由任何適合的圖案化方法(如,微影術、蝕刻等)來完成遮罩層202的圖案化。舉例而言,在遮罩層202包含光阻的地方,可用光微影技術來曝光位在第一區域204上方之遮罩層 202的部分,接著藉由遮罩層202的顯影來移除位在第一區域204上方之遮罩層202的曝光部分。
在某些實施例中,視情況,可將摻質提供至經暴露的第一區域204,以控制第一區域204的電阻率,如箭頭208所圖解。可使用反應器400或任何適合的摻質佈植方法將摻質佈植於第一區域204中,適合的摻質佈植方法可如光束線佈植(Beam-line implantation)等。在某些實施例中,摻質可包含硼(B)、磷(P)或砷(As)中之一或多者。在某些實施例中,第一區域中之摻質濃度的範圍可自約1 x 1017cm-3至約1 x 1021cm-3。舉例而言,增加摻質濃度可能降低第一區域204中之電阻率。在某些實施例中,在暴露的第一區域204中形成矽化物-電阻區域之前,可進行退火來活化佈植的摻質,如下文所論述。可在攝氏約800至約1200度的溫度下進行退火以活化暴露的第一區域204中之摻質達期望的時間段,如約0.1至約60秒。在某些實施例中,可在惰性氣氛中進行退火,如包括氮(N2)、氬(Ar)等的氣氛。
於步驟106,可於基板200的第一區域204中形成矽化物-電阻區域210。舉例而言,矽化物-電阻區域210可自第一區域204的第一表面203延伸進入第一區域204。在某些實施例中,矽化物-電阻區域210可延伸達約10埃至約100埃的深度。矽化物-電阻區域210可包含矽(Si),及碳(C)或氮(N)中之至少一者。舉例而言,矽化物-電阻區域210中的矽(Si)可源自基板200的第一區域 204,而碳(C)或氮(N)中之至少一者可被提供至第一區域204。在某些實施例中,可進一步利用矽化物-電阻區域210來控制第一區域204之電阻率。在某些實施例中,矽化物-電阻區域210可用來控制第一區域204之電阻率至低於單獨摻雜所能達到的電阻率(例如,如上文所述)。舉例而言,可藉由控制矽化物-電阻區域210的濃度及/或矽化物-電阻區域210自第一表面203延伸進入第一區域204的深度,來達成使用矽化物-電阻區域210對第一區域204之電阻率的控制。舉例而言,控制矽化物-電阻區域210的濃度及/或深度可控制在如下文所述之後繼製程中,接續形成於第一區域204中之金屬矽化物的量。形成於第一區域204中之金屬矽化物的量可控制第一區域204的電阻率。
在某些實施例中,包含碳(C)或氮(N)中之至少一者的來源元素可被提供至第一區域204,以形成矽化物-電阻區域210。在某些實施例中,可將來源元素的離子佈植(由第2C圖的箭頭212所圖解)進入第一區域204的第一表面203。舉例而言,可使用下文所論述之反應器400進行來源元素的離子之佈植。在某些實施例中,矽化物-電阻區域210中之來源元素的濃度可為約0.01至約10原子百分比。
可如第3A至3C圖所示,沉積來源元素以形成矽化物-電阻區域210,以替代佈植方法。舉例而言,如第3A圖所示,於步驟104圖案化遮罩層202之後,可將含來 源元素層300沉積於第一區域204的第一表面203的頂部,也沉積於餘留在第二區域206上方之遮罩層202的頂部。舉例而言,含來源元素層可包括碳(C)或氮(N)中之至少一者,且視情況可包括氫(H)。在某些實施例中,含來源元素層可為一或多種含烴類非揮發性元素氫化物等。可使用如下文所論述之反應器400沉積含來源元素層,例如使用沉積模式。含來源元素層的厚度範圍可自約10埃至約200埃。
含來源元素層300可經退火,以在基板200的第一區域204中形成矽化物-電阻區域210。可在攝氏約800至約1200度的溫度下進行退火達期望的時間段(如約0.1至約60秒),以自含來源元素層300形成矽化物-電阻區域210。在某些實施例中,可在惰性氣氛中進行退火,如包括氮(N2)、氬(Ar)等的氣氛。
在某些實施例中,如第3B圖所示,在退火以形成矽化物-電阻區域210的步驟完成之後,可能有來自含來源元素層300之未反應材料302餘留。舉例而言,如第3C圖所描繪,可與經圖案化的遮罩層202一起移除未反應材料302,或與經圖案化的遮罩層202分開移除未反應材料302。舉例而言,可藉由諸如硫酸(H2SO4)及過氧化氫(H2O2)混合物、氧氣電漿處理等任何適合的方法,來移除經圖案化的遮罩層202及未反應材料302。
請回到第2D圖(且圖解於第3C圖),於步驟108,在第一區域204中形成矽化物-電阻區域210之後,可移除 經圖案化的遮罩層202。可藉由上文所論述的任何方法來移除經圖案化的遮罩層202。
於步驟110,如第2E圖所描繪,可將含金屬層214沉積於基板200的第一區域204的第一表面203及第二區域206的第二表面205上。可藉由諸如物理氣相沉積(physical vapor deposition;PVD)、化學氣相沉積(chemical vapor deposition;CVD)、原子層沉積(atomic layer deposition;ALD)等任何適合的方法來沉積含金屬層214。含金屬層可包括鈷(Co)、鈦(Ti)、鎳(Ni)或上述元素之合金中之一或多者,上述元素之合金可如鎳-鉑(Ni-Pt)合金,例如,具有約5至約20百分比的鉑濃度之鎳-鉑(Ni-Pt)合金。可沉積含金屬層214達任何期望的厚度。舉例而言,經沉積的含金屬層214的厚度範圍可自約40埃至約200埃。如下文所論述,含金屬層214的厚度可至少部分地決定形成於第二區域206中及視情況形成於第一區域204中之金屬-矽化物區域的厚度。
於步驟112,如第2F圖所描繪,可退火處理經沉積的含金屬層214,以於基板200的第二區域206中形成第一金屬矽化物區域216。舉例而言,可在攝氏約150至約450度的溫度下進行含金屬層214的退火處理達期望的時間段(如約5至約300秒),以自含金屬層214形成第一金屬矽化物區域216。在某些實施例中,可在惰性氣氛中進行退火,如包括氮(N2)、氬(Ar)等的氣氛。
第一金屬矽化物區域216可如第2G圖所描繪般自第二 表面205延伸進入第二區域206,並視情況,如虛線所圖解般,位在第二表面205上方。可藉由以下一或多種因子來控制第一金屬矽化物區域216的厚度:含金屬層214的厚度及成分、第二區域206的成分(如第二區域中之元素的特性及濃度)、第二表面的方向性(如特定的結晶平面、多晶表面等)、退火處理的溫度、退火處理的時間等。
視情況,可於第一區域204中形成第二金屬矽化物區域218。舉例而言,很難僅藉由摻質獨自達成低的片電阻率(例如,範圍自每平方約20歐姆至每平方約500歐姆)。因此,可使用第二金屬矽化物區域218來修改第一區域204之電阻率,以達成比單獨藉由摻質所可能達成的電阻率更低之電阻率。舉例而言,如第2F圖所示,第二金屬矽化物區域218可延伸進入第一區域204的矽化物-電阻區域210,且視情況,位在第一表面203上方。舉例而言,因存在矽化物-電阻區域210之故,第二金屬矽化物區域218可能比第一金屬矽化物區域216更薄。舉例而言,如上文所論述的用來控制第一金屬矽化物區域216的厚度之類似因子也可被用來控制第二金屬矽化物區域218的厚度。然而,可替換為其它因子,或與其它因子結合,可藉由控制矽化物-電阻區域210中之來源元素的濃度或矽化物-電阻區域210自第一表面203延伸進入第一區域204的深度中之至少一者,來進一步控制形成於第一區域204中之金屬矽化物的量。舉例而言, 增加矽化物-電阻區域210中之來源元素的濃度可縮減形成於第一區域204中之第二金屬矽化物區域218的尺寸。舉例而言,增加矽化物-電阻區域210自第一表面203延伸進入第一區域204的深度可縮減形成於第一區域204中之第二金屬矽化物區域218的尺寸。在某些實施例中,在含金屬層214的退火處理後,沒有金屬矽化物形成於第一區域204中(例如,沒有第二金屬矽化物區域218形成)。如上文所論述,形成於第一區域204中之金屬矽化物的量可至少部分地決定第一區域204中的電阻率。
如第2G圖所描繪,在第一金屬矽化物區域216(及視情況,第二金屬矽化物區域218)形成之後,可自第一區域204及第二區域206的上方移除來自沉積含金屬層214所餘留的非矽化金屬。舉例而言,當使用鎳及鎳合金作為含金屬層214時,可藉由施加濕式化學處理劑來移除非矽化金屬。濕式化學處理劑可包括氫氯酸(HCl)、硝酸(HNO3)、硫酸(H2SO4)、過氧化氫(H2O2)或上述物質的混合物中之至少一者,以移除至少部分非矽化材料。
本文所論述之本發明的方法可消去用於透過矽化製程遮蔽電阻器的標準流程中的數個處理步驟。舉例而言,如上文所論述,可將單一遮罩層用於本發明的製程流程中,而習用的方法則需要第一遮罩層將摻質提供至積體電路的電阻區域,接著需要第二遮罩層將金屬矽化物提供至積體電路的電晶體區域。在某些實施例中,結果顯 示,相較於使用傳統形成方法的非佈植性區塊而言,適當的碳劑量及佈植能量可在矽化物形成步驟之後導致第一區域中的電阻率增加超過10倍。
本發明的實施例可進行於環形源電漿離子浸沒佈植反應器中,例如,但不限於,可購自加州聖大克勞拉市的美商應用材料股份有限公司的CONFORMATM反應器。此合適的反應器及其操作方法描述於美國專利第7,166,524號中,該美國專利讓渡給本發明的受讓人。
請回到第4圖,如上文參照之申請案所揭露之型式的環形源電漿浸沒離子佈植反應器400具有圓柱形真空腔室402,圓柱形真空腔室402由圓柱形側壁404及盤形天花板406所界定。位在腔室底板處的基板支撐基座408可支撐待處理的基板410(例如,基板200)。天花板406上的配氣板或噴頭412在該配氣板或噴頭412的氣體岐管414中接收來自配氣面板416的製程氣體,配氣面板416的氣體輸出可為來自一或多個單獨氣體供應源418的任何氣體或氣體之混合物。真空泵420耦接抽吸環422,抽吸環422界定於基板支撐基座408與側壁404之間。處理區域424界定於基板410與配氣板412之間。
一對外部再進入導管426、428建立了再進入環形路徑供電漿電流通過處理區域424,環形路徑於處理區域424中相交。各導管426、428具有一對端部430耦接至腔室的相對側。各導管426、428為中空的導電管。各導管426、428具有直流電流(D.C.)絕緣環432,防止在導管的 兩個端部之間形成封閉迴路的導電路徑。
各導管426、428的環形部分由環形磁性核心434所圍繞。圍繞核心434的激發線圈436經由阻抗匹配元件440耦接RF功率源438。耦接各自的線圈436的兩個RF功率源438可能分別為兩個稍微不同的頻率。耦接自RF功率產生器438的RF功率可於封閉的環形路徑中產生電漿離子流,封閉的環形路徑延伸通過個別的導管426、428並通過處理區域424。這些離子流於對應的RF功率源438之頻率下共振。可藉由偏壓功率產生器442經過阻抗匹配電路444將偏壓功率施加至基板支撐基座408。
可藉由將製程氣體或製程氣體的混合物透過配氣板412導入腔室424,並自產生器438施加足夠的源功率至再進入導管426、428,以在導管及處理區域424中產生環形電漿電流,而形成電漿。可藉由RF偏壓功率產生器442所施加的晶圓偏壓電壓來決定接近晶圓表面處的電漿通量。電漿速率或通量(每平方公分每秒於晶圓表面取樣所得的離子數目)可由電漿密度決定,而電漿密度受到由RF源功率產生器438所施加的RF功率之位準所控制。晶圓410處的累積離子劑量(離子/平方公分)可藉由通量及維持該通量的整體時間等二者來決定。
若晶圓支撐基座408為靜電夾具,則可於晶圓支撐基座的絕緣板448內提供埋入式電極446,且埋入式電極446耦接使用者可控制之D.C.吸附電壓供應器450,並透過阻抗匹配電路444且視情況透過隔離電容器452(隔離 電容器452可包括於阻抗匹配電路444中)耦接偏壓功率產生器442。
於操作中,且舉例而言,可將基板410安置於基板支撐基座408上,且可將一或多種製程氣體導入腔室402內,以自製程氣體觸發電漿。
於操作中,如上文所論述,可於反應器400內從製程氣體產生電漿,以選擇性地修飾基板410的表面。根據上文所述之製程,可藉由自產生器438施加足夠的源功率至再進入導管426、428,以在導管426、428及處理區域424中產生電漿離子流,而於處理區域424中形成電漿。在某些實施例中,可調整由RF偏壓功率產生器442所傳送的晶圓偏壓電壓,以控制抵達晶圓表面之離子的通量,並可能控制形成於晶圓上之層的厚度及埋入晶圓表面中之電漿物種的濃度中之一或多者。在某些實施例中,未施加偏壓功率。
控制器454包含中央處理單元(CPU)456、記憶體458及支援電路460,支援電路460供CPU 456所用並有助於腔室402之構件的控制,並因此有助於蝕刻製程的控制,如進一步詳細描述於下文。舉例而言,如下文所述,為了有助於控制製程腔室402,控制器454可為任何形式的通用電腦處理器之一,通用電腦處理器可用於供控制多種腔室及次處理器所用之工業設定中。CPU 456的記憶體458或電腦可讀取媒體可為諸如本地或遠端之隨機存取記憶體(random access memory;RAM)、唯讀記憶 體(read only memory;ROM)、軟碟、硬碟或任何其它形式的數位儲存器等容易獲得的記憶體中之一或多者。支援電路460耦接CPU 456,以習用的方式支援處理器。這類電路包括快取記憶體、電源供應、時脈電路、輸入/輸出電路、次系統等。本文所描述之本發明的方法,或至少部分本發明的方法可儲存於記憶體458中作為軟體例行程序。也可由第二CPU(未繪示)儲存及/或執行軟體例行程序,第二CPU位在受CPU 456控制之硬體的遠端。
因此,本文揭露了用以在積體電路中形成金屬矽化物區域的方法。本發明的方法可有利地減少在積體電路中形成金屬矽化物區域所需之製程步驟的數目。舉例而言,矽化物-電阻區域的使用可消除製造製程中對諸如氮化矽(SiN)硬式遮罩層等硬式遮罩層的需求。進一步,本發明的方法可有利地用來控制積體電路的電阻層中之電阻率。舉例而言,以諸如硼(B)、磷(P)或砷(As)等摻質所進行之摻雜可用來控制電阻率;然而,單獨藉由摻質可能難以達成更低的電阻率,如次微米元件節點。因此,本發明的方法可提供額外的電阻率控制機制。
儘管前述內容指向本發明的實施例,但在不悖離本發明的基本範疇下可發想出本發明的其它及進一步實施例。
100‧‧‧方法
102~112‧‧‧步驟
200‧‧‧基板
202‧‧‧遮罩層
203‧‧‧第一表面
204‧‧‧第一區域
205‧‧‧第二表面
206‧‧‧第二區域
208‧‧‧箭頭
210‧‧‧矽化物-電阻區域
212‧‧‧箭頭
214‧‧‧含金屬層
216‧‧‧第一金屬矽化物區域
218‧‧‧第二金屬矽化物區域
300‧‧‧含來源元素層
302‧‧‧未反應材料
400‧‧‧反應器
402‧‧‧腔室
404‧‧‧側壁
406‧‧‧天花板
408‧‧‧基板支撐基座
410‧‧‧基板
412‧‧‧配氣板/噴頭
414‧‧‧氣體岐管
416‧‧‧配氣面板
418‧‧‧氣體供應源
420‧‧‧真空泵
422‧‧‧抽吸環
424‧‧‧處理區域
426、428‧‧‧導管
430‧‧‧端部
432‧‧‧絕緣環
434‧‧‧核心
436‧‧‧線圈
438‧‧‧RF功率源
440‧‧‧阻抗匹配元件
442‧‧‧偏壓功率產生器
444‧‧‧阻抗匹配電路
446‧‧‧電極
448‧‧‧絕緣板
450‧‧‧D.C.吸附電壓供應器
452‧‧‧隔離電容器
454‧‧‧控制器
456‧‧‧中央處理單元
458‧‧‧記憶體
460‧‧‧支援電路
可藉由參照描繪於隨附圖式中之本發明的說明性實施例,而瞭解以上所簡述且更詳細於下文中討論之本發明的實施例。然而,應注意的是,隨附圖式僅為說明本發明之典型實施例,而非用於限制本發明之範疇,本發明亦允許其它等效實施例。
第1圖描繪根據本發明的某些實施例,在積體電路中形成金屬矽化物區域之方法的流程圖。
第2A至2G圖分別描繪根據本發明的某些實施例,在基板的區域中之金屬矽化物區域的製造階段。
第3A至3C圖分別描繪根據本發明的某些實施例,在基板的區域中之矽化物-電阻區域的製造階段。
第4圖描繪根據本發明的某些實施例之電漿浸沒離子佈植製程腔室的概要視圖。
為方便瞭解,在可能情況下已使用相同元件符號以指出諸圖所共有之相同元件。圖式並非按比例繪製,且可能為了清晰之故而加以簡化。可考慮將一個實施例之元件及特徵有利地應用於其它實施例中,而無需進一步記載。
100‧‧‧方法
102~112‧‧‧步驟

Claims (18)

  1. 一種在一積體電路中形成一金屬矽化物區域的方法,包含下列步驟:在一基板的一第一區域中形成一矽化物-電阻區域,該基板具有該第一區域及一第二區域,其中一遮罩層沉積於該基板的頂部,並經圖案化以暴露該第一區域;在於該基板的該第一區域中形成該矽化物-電阻區域之後,移除該遮罩層;在該第一區域的一第一表面上及該第二區域的一第二表面上沉積一含金屬層;以及退火處理該沉積的含金屬層,以在該第二區域中形成一第一金屬矽化物區域,以及在該第一區域中不形成金屬矽化物或在該第一區域中形成一第二金屬矽化物區域,該第二金屬矽化物區域的一厚度小於該第一金屬矽化物區域的一厚度。
  2. 如請求項第1項所述之方法,進一步包含下列步驟:在該第二區域中形成該第一金屬矽化物區域之後,從該第一區域及該第二區域的上方移除來自沉積該含金屬層所餘留的非矽化金屬。
  3. 如請求項第2項所述之方法,其中移除該非矽化金 屬進一步包含下列步驟:施加一濕式化學處理劑,以移除至少某些該非矽化金屬,該濕式化學處理劑包括氫氯酸(HCl)、硝酸(HNO3)、硫酸(H2SO4)或過氧化氫(H2O2)中至少一者。
  4. 如請求項第1至3項中任一項所述之方法,其中該矽化物-電阻區域自該第一區域的該第一表面延伸進入該第一區域。
  5. 如請求項第4項所述之方法,其中該矽化物-電阻區域延伸至範圍自約10埃至約100埃的一深度。
  6. 如請求項第4項所述之方法,其中該矽化物-電阻區域包含矽(Si),及碳(C)或氮(N)之至少一者。
  7. 如請求項第4項所述之方法,其中形成該矽化物-電阻區域進一步包含下列步驟:提供一來源元素至該第一區域。
  8. 如請求項第7項所述之方法,其中提供該來源元素進一步包含下列步驟:佈植該來源元素之離子進入該第一區域的該第一表面。
  9. 如請求項第7項所述之方法,其中提供該來源元素進一步包含下列步驟:沉積一含來源元素層於該第一區域之該第一表面的頂部;以及退火處理該含來源元素層,以形成該矽化物-電阻區域。
  10. 如請求項第7項所述之方法,其中該來源元素包含碳(C)或氮(N)中之至少一者。
  11. 如請求項第7項所述之方法,其中形成該矽化物-電阻區域進一步包含下列步驟:控制該矽化物-電阻區域中之該來源元素的一濃度,或該矽化物-電阻區域自該第一表面延伸進入該第一區域之一深度中之至少一者,以控制形成於該第一區域中之金屬矽化物的量。
  12. 如請求項第11項所述之方法,其中增加該矽化物-電阻區域中之該來源元素的濃度縮減了形成於該第一區域中之一第二金屬矽化物區域的尺寸。
  13. 如請求項第11項所述之方法,其中增加該矽化物-電阻區域自該第一表面延伸進入該第一區域之該深度縮減了形成於該第一區域中之一第二金屬矽化物區域的尺 寸。
  14. 如請求項第1至3項中任一項所述之方法,其中在形成該矽化物-電阻區域之前,進一步包含下列步驟:提供一摻質至該暴露的第一區域,以控制該第一區域的電阻率。
  15. 如請求項第1至3項中任一項所述之方法,其中該含金屬層包含鈷(Co)、鈦(Ti)、鎳(Ni)或鉑(Pt)中之一或更多者。
  16. 一種在一積體電路中形成一金屬矽化物區域的方法,包含下列步驟:提供一基板,該基板具有一第一區域及一第二區域,且一遮罩層沉積於該基板的頂部並經圖案化以暴露該第一區域;以一摻質摻雜該暴露的第一區域,以控制該第一區域的電阻率;藉由提供一來源元素至該摻雜的第一區域,於該摻雜的第一區域中形成一矽化物-電阻區域,其中該來源元素包含碳(C)或氮(N)中之至少一者;在於該摻雜的第一區域中形成該矽化物-電阻區域之後,移除該遮罩層;於該摻雜的第一區域之一第一表面及該第二區域之 一第二表面上沉積一含金屬層;退火處理該沉積的含金屬層,以於該第二區域中形成一第一金屬矽化物區域,以及在該第一區域中不形成金屬矽化物或在該第一區域中形成一第二金屬矽化物區域,該第二金屬矽化物區域的一厚度小於該第一金屬矽化物區域的一厚度;以及在於該第二區域中形成該第一金屬矽化物區域之後,自該第一區域及該第二區域上方移除來自沉積該含金屬層所餘留的非矽化金屬。
  17. 一種半導體結構,包含:一基板;一第一區域,設置於該基板中,其中該第一區域包括一電阻率調整元素及一矽化物-電阻元素;一第二區域,設置於該基板中並鄰近該第一區域;一第一金屬矽化物層,設置於該第一區域的頂部;以及一第二金屬矽化物層,設置於該第二區域的頂部,其中該第一金屬矽化物層比該第二金屬矽化物層更薄。
  18. 如請求項第17項所述之半導體結構,其中該第一區域及第一矽化物層的片電阻範圍自每平方約20歐姆至每平方約100歐姆。
TW101125845A 2011-07-27 2012-07-18 在積體電路中形成金屬矽化物區域的方法 TWI564993B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201161512226P 2011-07-27 2011-07-27
US13/547,527 US8987102B2 (en) 2011-07-27 2012-07-12 Methods of forming a metal silicide region in an integrated circuit

Publications (2)

Publication Number Publication Date
TW201306174A TW201306174A (zh) 2013-02-01
TWI564993B true TWI564993B (zh) 2017-01-01

Family

ID=47596561

Family Applications (1)

Application Number Title Priority Date Filing Date
TW101125845A TWI564993B (zh) 2011-07-27 2012-07-18 在積體電路中形成金屬矽化物區域的方法

Country Status (6)

Country Link
US (1) US8987102B2 (zh)
JP (1) JP5992521B2 (zh)
KR (1) KR102030676B1 (zh)
CN (1) CN103650112A (zh)
TW (1) TWI564993B (zh)
WO (1) WO2013016341A2 (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140065819A1 (en) * 2012-09-03 2014-03-06 Intermolecular, Inc. Methods and Systems for Low Resistance Contact Formation
US11012461B2 (en) 2016-10-27 2021-05-18 Accenture Global Solutions Limited Network device vulnerability prediction

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5635746A (en) * 1995-07-06 1997-06-03 Mitsubishi Denki Kabushiki Kaisha Semiconductor device comprising a salicide structure
US20080132047A1 (en) * 2006-12-05 2008-06-05 General Electric Company Method for doping impurities
US20100237440A1 (en) * 2008-07-07 2010-09-23 Panasonic Corporation Semiconductor device and method for manufacturing the same

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07106566A (ja) * 1993-10-01 1995-04-21 Nippondenso Co Ltd 半導体装置の製造方法
JPH0964349A (ja) * 1995-08-22 1997-03-07 Sony Corp 高融点シリサイドを持つ半導体装置とその製造方法
KR100273271B1 (ko) * 1998-01-16 2001-02-01 김영환 실리사이드제조방법
US6403472B1 (en) 1999-06-23 2002-06-11 Harris Corporation Method of forming resistive contacts on intergrated circuits with mobility spoiling ions including high resistive contacts and low resistivity silicide contacts
JP2001053017A (ja) * 1999-08-06 2001-02-23 Hitachi Ltd 半導体装置の製造方法
JP2003188274A (ja) 2001-12-19 2003-07-04 Toshiba Corp 半導体装置及びその製造方法
FR2856514A1 (fr) 2003-06-20 2004-12-24 St Microelectronics Sa Procede de formation selective de siliciure sur une plaque de materiau semi-conducteur
JP2005093907A (ja) * 2003-09-19 2005-04-07 Sharp Corp 半導体装置およびその製造方法
JP2006196646A (ja) * 2005-01-13 2006-07-27 Renesas Technology Corp 半導体装置及びその製造方法
JP2007019205A (ja) * 2005-07-07 2007-01-25 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
US7576407B2 (en) * 2006-04-26 2009-08-18 Samsung Electronics Co., Ltd. Devices and methods for constructing electrically programmable integrated fuses for low power applications
US20100164001A1 (en) * 2008-12-30 2010-07-01 Joodong Park Implant process for blocked salicide poly resistor and structures formed thereby
KR101149043B1 (ko) * 2009-10-30 2012-05-24 에스케이하이닉스 주식회사 매립형 비트라인을 구비하는 반도체 장치 및 그 제조방법

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5635746A (en) * 1995-07-06 1997-06-03 Mitsubishi Denki Kabushiki Kaisha Semiconductor device comprising a salicide structure
US20080132047A1 (en) * 2006-12-05 2008-06-05 General Electric Company Method for doping impurities
US20100237440A1 (en) * 2008-07-07 2010-09-23 Panasonic Corporation Semiconductor device and method for manufacturing the same

Also Published As

Publication number Publication date
CN103650112A (zh) 2014-03-19
WO2013016341A3 (en) 2013-04-18
US8987102B2 (en) 2015-03-24
KR102030676B1 (ko) 2019-10-10
JP5992521B2 (ja) 2016-09-14
JP2014524158A (ja) 2014-09-18
KR20140063644A (ko) 2014-05-27
TW201306174A (zh) 2013-02-01
US20130026617A1 (en) 2013-01-31
WO2013016341A2 (en) 2013-01-31

Similar Documents

Publication Publication Date Title
TWI675397B (zh) 利用掩模及方向性電漿處理之選擇性沉積
TWI375991B (en) Method for multi-layer resist plasma etch
KR101516648B1 (ko) 산화물 표면 대신 베어 실리콘 상의 폴리머 막들의 선택적 증착
TWI276160B (en) Nitridated gate dielectric layer
TW201923893A (zh) 高能量原子層蝕刻
TWI588902B (zh) 形成包含矽化及非矽化電路元件之半導體結構的方法
US9911607B2 (en) Method of processing target object
TWI784967B (zh) 矽氮化物之準原子層蝕刻方法
KR20140012727A (ko) 컨포멀한 도핑을 위한 방법들 및 장치
TW200915434A (en) Blocking pre-amorphization of a gate electrode of a transistor
TW200300571A (en) Semiconductor device having a low-resistance gate electrode
TW200428658A (en) Method for fabricating a gate structure of a field effect transistor
US9478437B2 (en) Methods for repairing low-k dielectrics using carbon plasma immersion
JP2005277220A (ja) 不純物導入方法、不純物導入装置およびこの方法を用いて形成された半導体装置
TW201828359A (zh) 用以製造自對準塊體結構之矽氮化物心軸的不等向性抽出方法
TWI564993B (zh) 在積體電路中形成金屬矽化物區域的方法
TW200805492A (en) Low-temperature dielectric formation for devices with strained germanium-containing channels
TWI761461B (zh) 用於製造自對準塊體結構之矽氮化物心軸的異向性抽出方法
KR102537097B1 (ko) 실리콘 질화물의 유사 원자층 에칭 방법
US6667197B1 (en) Method for differential oxidation rate reduction for n-type and p-type materials
CN102403272B (zh) 高压互补金属氧化物半导体的制备方法
US20130095643A1 (en) Methods for implanting dopant species in a substrate
US9337314B2 (en) Technique for selectively processing three dimensional device
US20130288469A1 (en) Methods and apparatus for implanting a dopant material

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees