TWI538095B - 在標準元件中之電源繞線 - Google Patents

在標準元件中之電源繞線 Download PDF

Info

Publication number
TWI538095B
TWI538095B TW101107230A TW101107230A TWI538095B TW I538095 B TWI538095 B TW I538095B TW 101107230 A TW101107230 A TW 101107230A TW 101107230 A TW101107230 A TW 101107230A TW I538095 B TWI538095 B TW I538095B
Authority
TW
Taiwan
Prior art keywords
component
metal wire
boundary
integrated circuit
components
Prior art date
Application number
TW101107230A
Other languages
English (en)
Other versions
TW201240015A (en
Inventor
迪帕克D 史勒柯
維賀 侯斯琵安
Original Assignee
賽諾西斯公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 賽諾西斯公司 filed Critical 賽諾西斯公司
Publication of TW201240015A publication Critical patent/TW201240015A/zh
Application granted granted Critical
Publication of TWI538095B publication Critical patent/TWI538095B/zh

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/394Routing
    • G06F30/3947Routing global
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/392Floor-planning or layout, e.g. partitioning or placement
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/394Routing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/118Masterslice integrated circuits
    • H01L27/11803Masterslice integrated circuits using field effect technology
    • H01L27/11807CMOS gate arrays
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/06Power analysis or power optimisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/118Masterslice integrated circuits
    • H01L27/11803Masterslice integrated circuits using field effect technology
    • H01L27/11807CMOS gate arrays
    • H01L2027/11868Macro-architecture
    • H01L2027/11874Layout specification, i.e. inner core region
    • H01L2027/11881Power supply lines
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Description

在標準元件中之電源繞線
本發明大體上係關於電子設計自動化(EDA)領域,且更具體言之,係關於用於用以設計積體電路(IC)之標準元件的電源供應電壓之繞線。
已開發基於元件之電腦輔助設計以用於快速設計大規模IC,諸如特殊應用積體電路(ASIC)及閘陣列。元件為已經預設計及預驗證為建置組塊之電路。被稱作標準元件及閘陣列之設計技術使用不同類型之此等建置組塊。在標準元件設計中,元件庫中之每一相異元件可具有主動層級、閘層級及金屬層級之獨特幾何形狀。然而,在閘陣列情況下,每一閘陣列元件共用相同的建置組塊,該建置組塊被稱作包括固定的主動層級及閘層級幾何形狀之核心元件。僅使用一或多個核心元件之主動元件與閘元件之間的金屬互連線來實施不同閘陣列元件。標準元件或閘陣列元件之實例包括反相器、「反及(NAND)」閘、「反或(NOR)」閘、正反器及其他類似邏輯電路。
在設計積體電路之程序期間,設計者可自元件庫選擇特定元件且在設計中使用該等特定元件。該元件庫包括已經設計以用於諸如互補金屬氧化物半導體(CMOS)製造之給定積體電路(IC)製造程序的元件。元件大體上具有固定高度,但寬度可變,此情形使得元件能夠按列置放。雖然自一種設計至下一種設計,元件不會改變,但互連元件之方 式將會改變,以達成給定設計中之所要功能。藉由能夠自該元件庫選擇元件以供設計中使用,設計者可快速地實施所要功能性而不必從頭開始來定製設計整個積體電路。設計者因此將具有如下特定可信度:積體電路在被製造時將如所預期般工作,而不必擔心組成每一元件之個別電晶體的細節。
因為設計者將在特定設計中使用元件之許多複本(稱作例項),所以將元件最佳化成儘可能地緊密為重要的。否則,在設計中,任何無效率將關於標準元件之每一例項而重複。較小元件之優勢包括能夠在有限空間量內適配複雜電路。具有較小元件亦減小積體電路之實體大小,藉此減小製造積體電路之成本。
設計元件以使得可有效地進行繞線連接亦為重要的。經由諸如一或多個金屬層中之跡線的繞線元件實現IC設計中之繞線。每一金屬層係藉由絕緣層而與其他金屬層分離,且通孔將一金屬層連接至另一金屬層。此等繞線元件執行至少兩個功能:繞線元件連接組成元件之個別電晶體,且繞線元件全域地(亦即,在晶片層級上)將元件連接至彼此以實施積體電路之所要功能性。舉例而言,可經由此等繞線元件攜載時脈信號、重設信號、測試信號及供應電壓。適當設計之元件最小化繞線全域互連線中之壅塞,此最小化減少需要在製造積體電路時使用之金屬層的數目。
另一關注事項為遵照各種設計規則。限制性設計規則為控管物件(諸如,金屬、多晶矽及通孔)之佈局的新設計規 則,其本質上比較舊半導體製程中所強加之設計規則更具限制性。一些限制性設計規則考慮在製造積體電路期間不可避免之變化且為鑄工車間(foundry)所需以製造晶片。限制性設計規則之實例包括要求佈局中之所有閘具有相同間距(亦即,以相等距離間隔)或要求佈局中之所有閘在相同方向上。正常規則之實例為金屬導線必須具有與相同層內之其他金屬導線的最小間隔之規則。其他設計規則反映實現有效晶片繞線之最好實踐,但並非為鑄工車間所需以製造晶片之絕對規則。舉例而言,較佳地,一些金屬層內之跡線皆在相同方向上伸展以減少晶片層級之繞線壅塞。
本發明之實施例係關於一種積體電路,一種儲存用於建立一積體電路之一佈局的指令之電腦可讀媒體,及一種用於製造一積體電路之方法。積體電路架構中之至少一元件包括一第一金屬導線,該第一金屬導線沿著該元件之一第一邊界延伸以用於攜載一第一電源供應電壓。一第二金屬導線沿著該元件之一內部延伸以用於攜載一第二電源供應電壓。對應於該元件之一輸入信號或輸出信號之一元件接針位於該第二金屬導線與一第二邊界之間,該第二邊界與該第一邊界對置。藉由以此方式組態該等電源供應電壓及元件接針,該積體電路之該佈局更為緊密同時仍遵照各種設計規則。
非暫時性電腦可讀媒體之一實施例儲存用於接收複數個元件且自元件產生一積體電路之一佈局的指令。該等元件 中之至少一者包括一第一金屬導線,該第一金屬導線沿著該元件之一第一邊界延伸以用於攜載一第一電源供應電壓。一第二金屬導線沿著該元件之一內部延伸以用於攜載一第二電源供應電壓。對應於該元件之一輸入信號或輸出信號中之一者的一元件接針位於該第二金屬導線與一第二邊界之間,該第二邊界與該第一邊界對置。
用於製造一積體電路之方法的一實施例包含形成一元件接針,該元件接針對應於一元件之一輸入信號或輸出信號中之一者。一第一金屬導線係沿著該元件之一第一邊界形成以用於攜載一第一電源供應電壓。一第二金屬導線係沿著該元件之一內部形成以用於攜載一第二電源供應電壓。該元件接針及該等導線經形成以使得該元件接針位於該第二金屬導線與該元件之一第二邊界之間,該第二邊界與該第一邊界對置。
所揭示之實施例具有自詳細描述、附加申請專利範圍及隨附諸圖(或圖式)將更易於顯見的其他優勢及特徵。下文為對諸圖之簡要介紹。
諸圖及以下描述僅藉由說明而與較佳實施例有關。應注意,自以下論述,將易於認可本文中所揭示之結構及方法之替代實施例作為在不脫離所主張之本發明之原理的情況下可使用之可行替代例。
現將詳細參考若干實施例,該等實施例之實例說明於隨附諸圖中。注意,在任何可實行之處,可在諸圖中使用類 似或相同的參考數字且該等參考數字可指示類似或相同的功能性。諸圖僅出於說明之目的來描繪所揭示系統(或方法)之實施例。熟習此項技術者自以下描述將易於認識到,在不脫離本文中所描述之原理的情況下,可使用本文中所說明之結構及方法之替代實施例。
本發明之實施例係關於用於在設計及製造積體電路時使用之緊密預定義之元件的元件庫。在一實施例中,元件庫包括複數個預定義之元件,該複數個預定義之元件具有沿著元件之邊界繞線的一電源供應電壓及沿著元件之內部繞線的另一電源供應電壓。攜載預定義之元件之輸入或輸出信號的元件接針或預定義之元件之電晶體之間的內部接線定位於兩個電源軌之間的區外部。藉由以此方式為預定義之元件的電源供應電壓及信號繞線,預定義之元件以及自預定義之元件所建立之積體電路更為緊密同時仍遵照設計規則。
本文中所描述之元件指代預定義之電路單元或電路元件,該預定義之電路單元或電路元件係作為許多不同類型之電路單元的元件庫之部分而提供至積體電路設計者;在需要時以多個例項來重複使用元件以組成積體電路。舉例而言,元件可為反相器、NAND閘、NOR閘、正反器及其他類似邏輯電路。每一元件具有邊界,通常由形成矩形形狀之四個邊緣組成。如本文中所使用,標準元件指代具有預定義之佈局之元件,該元件係結合其他標準元件使用以實施積體電路之所要功能性。標準元件可具有固定的主動 層級及閘層級幾何形狀。標準元件具有固定高度,但寬度可變,其允許標準元件按列並排地置放。本發明之實施例將參考標準元件來描述,但本文中所描述之原理可適用於標準元件及諸如閘陣列元件之其他元件兩者。
本文中所描述之元件接針指代元件內之金屬導線,該等金屬導線充當用於至元件之外部接線(例如,一元件與另一元件之間的接線)之連接點。元件接針有時被稱作元件埠,但術語元件接針意謂包括元件接針及元件埠兩者。存在若干類型之元件接針:輸入接針、輸出接針及電源接針。輸入接針為用於標準元件之輸入信號之連接點。輸出接針為用於標準元件之輸出信號之連接點。輸入接針及輸出接針在本文中共同地被稱作「信號接針」。電源接針為用於電源供應電壓(例如,VDD及VSS電壓)之連接點。接針之位置藉由標準元件之設計者在建立元件時指定。
如本文中所描述之閘極可指代金屬氧化物半導體場效電晶體(MOSFET)之電極。閘極可位於MOSFET之源極與汲極之間以控制源極與汲極之間的電流流動。在一實施例中,以固定間距來為閘極繞線且閘極係由基於多晶矽(「多晶」)或金屬之材料組成。閘極亦可指代虛擬閘極。虛擬閘極類似於調節器閘極,但為非功能性的且並不用以形成MOSFET。虛擬閘極通常用以維持由限制性設計規則所要求之閘極圖案之規律性。
計算機器架構
圖1為說明能夠自機器可讀媒體讀取指令且在處理器(或 控制器)中執行該等指令之實例機器的組件之方塊圖。具體言之,圖1展示呈電腦系統100之實例形式之機器的圖解表示,在電腦系統100內可執行用於使機器執行本文中所論述之方法中之任何一或多者的指令124(例如,軟體)。在替代實施例中,機器作為獨立器件操作或可連接(例如,網路連接)至其他機器。在網路部署中,機器可作為伺服器-用戶端網路環境中之伺服器機器或用戶端機器而操作,或作為同級間(或分散式)網路環境中之同級機器而操作。
機器可為伺服器電腦、用戶端電腦、個人電腦(PC)或能夠執行指定待由彼機器進行之動作之指令124(順序或以其他方式)的任何機器。此外,雖然僅說明單一機器,但亦應採用術語「機器」來包括個別地或聯合地執行指令124以執行本文中所論述之方法中之任何一或多者的機器之任何集合。
實例電腦系統100包括經組態以經由匯流排108而彼此通信之處理器102(例如,中央處理單元(CPU)、圖形處理單元(GPU)、數位信號處理器(DSP)、一或多個特殊應用積體電路(ASIC))、主記憶體104、靜態記憶體106,及儲存單元116。儲存單元116包括機器可讀媒體122,體現本文中所描述之方法或功能中之任何一或多者的指令124(例如,軟體)儲存於機器可讀媒體122上。指令124(例如,軟體)亦可在其由電腦系統100執行期間完全或至少部分駐留於主記憶體104或處理器102內(例如,在處理器之快取記憶體 內),主記憶體104及處理器102亦構成機器可讀媒體。
雖然在實例實施例中將機器可讀媒體122展示為單一媒體,但應採用術語「機器可讀媒體」來包括能夠儲存指令(例如,指令124)之單一媒體或多個媒體(例如,集中式資料元件庫或分散式資料元件庫,或相關聯之快取記憶體及伺服器)。亦應採用術語「機器可讀媒體」來包括能夠儲存供機器執行且使機器執行本文中所揭示之方法中之任何一或多者的指令(例如,指令124)的任何媒體。術語「機器可讀媒體」包括(但不限於)呈固態記憶體形式之資料儲存元件庫、光學媒體及磁性媒體。
標準元件佈局
在以下描述中,闡述眾多特定細節,諸如特定資料信號、組件、電晶體等之實例。具體言之,兩輸入NAND閘及反相器將用作標準元件之實例以用於說明緊密標準元件之佈局。然而,僅出於說明之目的而給出此實例,且可使用所揭示之技術形成用於其他邏輯閘之許多其他標準元件。因此,所闡述之特定細節及實施僅為例示性的。
圖2A說明兩輸入NAND閘之邏輯符號。NAND閘包括兩個輸入A1及A2。NAND閘根據預定義之真值表基於其輸入A1及A2之邏輯位準而產生輸出X。
圖2B說明用以建立圖2A之NAND閘之四個電晶體的示意圖之一實施例。NAND閘包括兩個p通道金屬氧化物半導體場效電晶體(PMOS)及兩個n通道金屬氧化物半導體場效電晶體(NMOS)。PMOS電晶體205及210兩者耦接至正供應電 壓VDD。NMOS電晶體220耦接至負供應電壓VSS。在一實施例中,VSS供應電壓等效於接地。輸入A2耦接至電晶體205及電晶體220之閘極,且控制此等電晶體之接通/斷開狀態。輸入A1耦接至電晶體210及電晶體215之閘極,且控制此等電晶體之接通/斷開狀態。該等電晶體基於兩個輸入A1及A2之邏輯位準而產生輸出X。
圖3說明實施圖2A及圖2B之兩輸入NAND閘之習知標準元件300。可在概念上將元件300分成對應於實施NAND閘所需之四個個別電晶體205、210、220及215之區段。元件300包括以固定間距間隔之四個閘極305、310、315及320。閘極315對應於電晶體205及220之閘極。閘極310對應於電晶體210及215之閘極。閘極305及320為未用以形成任何電晶體之虛擬閘極。
元件300進一步包括複數個元件接針。舉例而言,導線325為用於存取輸入信號A1之輸入接針,且導線330為用於存取輸入信號A2之輸入接針。導線335為用於存取輸出信號X之輸出接針。將信號接針(例如,導線325)置放於較低層級之金屬1(「M1」)層上。亦在M1層上為元件內之其他內部接線(諸如,VSS/VDD與電晶體之間的接線)繞線。在其他實施例中,可將信號接針及內部接線置放於除M1層之外的層上。積體電路使用若干金屬層以用於為導線繞線。最靠近基板之金屬層被稱作金屬1(「M1」)層。次最近層被稱作金屬2(「M2」)層,且在M2層之後的次最近層被稱作金屬3(「M3」)層。在一實施例中,根據交替之水 平垂直水平(HVH)或垂直水平垂直(VHV)拓撲來為金屬層繞線。換言之,一金屬層中之所有導線主要在一方向上伸展,且鄰近金屬層中之導線主要在正交方向上伸展。舉例而言,若M1層中之導線垂直地伸展,則M2層中之導線水平地伸展,且M3層中之導線垂直地伸展。另外,不同金屬層中之導線使用通孔來彼此連接。
導線350及355為用於將元件連接至VDD及VSS電源供應電壓之電源接針。導線350經組態以攜載VDD電壓,且導線355經組態以攜載VSS電壓。在M2層中在與閘極(例如,閘極310)正交之方向上為兩個電源接針繞線。亦沿著元件300之邊界為兩個電源接針繞線。將電源接針置放於元件邊界處使得單一水平電源繞線/電源軌能夠由鄰近元件列中之垂直翻轉之元件共用。藉由減小電源軌之寬度,可減少在電路設計之各種元件之間的繞線全域互連線中之壅塞。然而,在存在特定限制性設計規則(諸如,固定閘極間距、單向閘極等)之情況下,此類型之元件佈局並不理想,此係因為其導致大元件300。舉例而言,圖3之兩輸入NAND閘之寬度為大約四個閘極間距,以便容納元件內之電晶體與用於存取元件信號之信號接針之間的所有金屬接線。此外,歸因於電源接針350及355之置放,標準元件300中之所有信號接針(例如,導線325)位於兩個電源接針350與355之間。
圖4說明實施圖2A及圖2B之兩輸入NAND閘之緊密標準元件400的一實施例。如所展示,圖4中之元件佈局在元件 400之邊界處為一電源接針繞線,同時在元件400內部為另一電源接針繞線,從而導致比圖3中之習知元件佈局緊密的元件。實現此情形,同時仍遵照限制性設計規則且使總繞線壅塞達到最小。
可在概念上將元件400分成對應於實施NAND閘所需之四個個別電晶體205、210、220及215之區段。電晶體205及210形成於擴散區域480中。電晶體215及220形成於不同擴散區域485中。元件亦包括以固定間距間隔之四個閘極405、410、415及420。閘極415對應於電晶體205及220之閘極。閘極410對應於電晶體210及215之閘極。閘極405及420為未用以形成任何電晶體之虛擬閘極。
元件400包括複數個信號接針。舉例而言,導線425為用於存取輸入信號A1之輸入接針,且導線430為用於存取輸入信號A2之輸入接針。導線435為用於存取輸出信號X之輸出接針。如所展示,將信號接針(例如,導線425)置放於M1層中。亦在M1層上為元件內之其他內部接線(諸如,VSS/VDD與電晶體之間的接線)繞線。
元件包括沿著元件之內部繞線的用於攜載VDD電壓之第一電源接針450。元件亦包括在元件之邊界處繞線的用於攜載VSS電壓之第二電源接針455。兩個電源接針實質上平行於彼此,且作為導線來在M2層中在與閘極(例如,閘極410)正交之方向上繞線。儘管將VDD接針450展示為在元件內部且將VSS接針455展示為在元件之邊界處,但在其他實施例中,在元件內部為VSS繞線且在元件之邊界處 為VDD繞線。在其他實施例中,可在除M2層之外的金屬層上為電源接針中之一者或兩者繞線。舉例而言,VDD電源接針450可在M2層中,而VSS電源接針455在M1層中。
電源接針450及455之置放將元件劃分成兩個區460及465。一區460藉由兩個電源接針定界限且位於該兩個電源接針之間。另一區465並非藉由兩個電源接針定界限,但藉由一電源接針450及頂部元件邊界定界限。電源接針450及455之置放允許信號接針430及435以及擴散區域485中之一些置放於元件之在兩個電源接針之間的區460中。電源接針450及455之置放亦允許其他信號接針425及擴散區域480部分或全部位於不在兩個電源接針之間的區465中。在一些實施例中,元件之電晶體之間的內部金屬繞線接線亦部分或全部位於區465中(圖中未展示)。
在元件之邊界處為一電源接針繞線同時沿著元件之內部為另一電源接針繞線出於數個原因而為有益的。許多優勢中之一者為繞線技術建立緊密且有效的元件。藉由將電源接針中之一者置放於元件內,(例如)藉由減小元件內之金屬跡線的長度及簡化至VDD及VSS之源極及汲極接線而極大地簡化元件內之繞線。結果,圖4中之NAND閘元件具有僅三個閘極間距之寬度,而圖3之習知NAND閘元件具有四個閘極間距之寬度。儘管圖3及圖4兩者中之標準元件包括四條聚合線(poly line),但圖3中之標準元件實際上較寬,此係因為其包括延伸超出外部聚合線之區。因此,NAND閘之元件大小減小25%。實施其他邏輯器件之其他標準元 件亦可自此類型之元件佈局獲益。舉例而言,反相器減小33%且正反器減小5%至10%。結果,估計藉由此等標準元件所建立之積體電路減小大約5%至15%。
所說明之元件佈局亦維持遵照實現有效晶片繞線之設計規則,但並非為鑄工車間所需以製造晶片之絕對規則。舉例而言,在標準元件設計中,較佳在M2層中以與閘極正交之方式為跡線繞線以維持與記憶胞之一致性,記憶體胞在M2層中以與閘極正交之方式為諸如位元線之跡線繞線。圖4中之元件佈局藉由在M2層中以與閘極正交之方式為電源接針繞線來遵照此設計規則。此外,在圖4之元件佈局中,元件之所有內部繞線位於M1層中。內部元件繞線中無一者位於M2層中。藉由最小化M2層用於內部繞線之使用,亦最小化全域繞線壅塞。舉例而言,為了存取元件接針425以獲得A1輸入信號,可在與閘極正交之方向上跨越元件400為M2層上之單一跡線繞線,且該跡線藉由單一通孔連接至接針425。
圖5說明用於反相器之緊密標準元件500之一實施例。如所展示,反相器為包含一PMOS電晶體、一NMOS電晶體且具有一輸入信號A及一輸出信號X之標準CMOS反相器。標準元件包括兩個電源接針550及555。在元件500內部為VDD接針550繞線,且在元件500之邊界處為VSS接針555繞線。在M2層上以與閘極正交之方式為電源接針550及555兩者繞線。歸因於電源接針550及555之置放,用於信號A之輸入接針505係置放於VDD上方,且用於信號X之輸 出接針510係在VDD與VSS之間的區中繞線。另外,擴散區域585位於VDD與VSS之間,而擴散區580之部分位於VDD上方。此元件佈局導致寬度為僅兩個間距之緊密反相器。相比之下,具有沿著元件之邊界繞線之電源接針的相同高度之習知標準元件具有寬度為三個間距之元件佈局。
圖6A說明包括若干標準元件例項之積體電路佈局之一實施例。如所展示,存在若干標準元件例項610、612、614及616,該等元件例項具有在元件之邊界處繞線的VSS接針及沿著元件之內部繞線的VDD接針。標準元件具有用於使各種元件互連以實施電路設計之所要功能性的信號接針,諸如信號接針620。元件614表示元件610之垂直翻轉之版本,且元件616表示元件612之垂直翻轉之版本。
在一實施例中,元件庫內之標準元件大體上經組態以具有類似特性。單一元件庫內之標準元件可具有固定高度,此固定高度允許元件按列置放。舉例而言,元件610及612具有相同高度。元件610及612形成一列,而元件614及616形成第二列。
在一實施例中,元件庫內之標準元件可經設計以使具有固定寬度之電源接針按固定高度來置放。藉由固定電源接針之寬度及高度,元件之接針形成在置放至佈局中時在元件間共用之共同電源軌。舉例而言,元件610及元件612共用共同VDD 632及VSS 630電源軌。如本文中所使用,電源軌經定義為主要用以將電源供應電壓(諸如,VDD、VSS、接地等)攜載至積體電路之元件的導線結構。沿著元 件610及612之內部為VDD電源軌632繞線,而沿著元件610及612之邊界為VSS電源軌630繞線。在一實施例中,在M2層上為VDD 632及VSS 630電源軌繞線。在其他實施例中,可在除M2層之外的金屬層上為VDD 632或VSS 630電源軌繞線。
另外,因為對於所有標準元件,元件庫可僅支援固定最大P寬度及固定最大N寬度,所以所有標準元件列內之n井邊界為固定的。固定最大P寬度及N寬度實現跨越整個標準元件列之連續n井,簡化元件佈局,且減小電晶體之效能的可變性以免在電晶體附近形成不均勻n井邊界。元件庫之最大P寬度對最大N寬度之比被稱作元件庫P:N比。元件庫P:N比影響元件庫中之單一PMOS及NMOS電晶體之相對驅動,且可取決於是其P電晶體具有每單位大小更強驅動抑或N電晶體具有每單位大小更強驅動而調整以考慮特定製程技術。
在一實施例中,元件庫中之標準元件之電源接針的最佳置放取決於元件庫之P:N比。若P:N比大於一,則此情形指示每一元件中之PMOS電晶體大體上(但並非總是)大於NMOS電晶體。結果,應跨越元件之內部為VDD繞線以簡化至PMOS電晶體之接線,而在元件之邊界上為VSS繞線。另一方面,若P:N比小於一,則應在元件之邊界上為VDD繞線,而跨越元件之內部為VSS繞線以簡化至NMOS電晶體之接線。
圖6B說明包括若干標準元件例項之積體電路佈局之另一 實施例。圖6B類似於圖6A,惟元件之每一列亦包括沿著元件列之頂部及底部邊界繞線以用於攜載Vx電壓電位的額外電源軌650及652除外。類似於在元件之兩個列之間共用VSS電源軌630的方式,Vx電源軌650及652可藉由鄰近及鄰接列(圖中未展示)中之元件共用。
在一實施例中,Vx為可與主電源供應器(VDD/VSS)分離地接通或斷開之電壓及/或與主電源供應器相比較具有電壓電位之不同位準。舉例而言,Vx可表示以下各者中之一者:(1)在主電源供應器VDD/VSS在待用模式期間斷開時保持接通之保留電壓(VDDR/VSSR)、(2)在積體電路在操作中時至元件中之組件的可切換接通/斷開電源、(3)用於給電晶體之井基板加高於彼電晶體之源極電壓電位的偏壓以減小歸因於洩露之電源損失之電壓、(4)用於連接至元件之列中的一些元件以允許元件在不同於相鄰元件之電壓電位要求的情況下操作之電壓,或(5)提供其他類似功能之電壓。在一實施例中,電源軌(例如,650、632及630)皆具有相同寬度。舉例而言,電源軌可皆具有等於藉由製程設計規則所設定之金屬導線的最小寬度之寬度。在一實施例中,在鄰近元件列之間共用Vx電源軌650為有益的,此係因為Vx電源軌650佔據較少空間。Vx電源軌650不攜載高電流量,且因此有效地減小Vx電源軌650之寬度。
自標準元件建立積體電路
圖7說明自標準元件庫建立積體電路之程序之高階概述的一實施例。最初,編譯器715接收積體電路(IC)設計之硬 體描述檔案710及標準元件庫705。編譯器715表示為電子設計自動化(EDA)工具集之部分的各種軟體工具,諸如合成工具以及置放及繞線工具。編譯器715包括儲存於類似於結合圖1所描述之機器可讀媒體之機器可讀媒體中的指令。指令可藉由處理器執行,此執行使處理器執行本文中所描述之功能性。
在一實施例中,硬體描述檔案710為描述電路之所要功能性的暫存器轉移層級描述。舉例而言,硬體描述檔案710可以諸如Verilog或VHDL之硬體描述語言撰寫。硬體描述檔案710可描述整個積體電路或較大積體電路之部分。在一實施例中,硬體描述檔案710儲存於機器可讀媒體中,接著藉由編譯器載入以用於處理。
標準元件庫705包括用於在建立積體電路之佈局時使用的複數個標準元件。在一實施例中,該等標準元件經組態以具有在標準元件內部繞線之一電源軌及在標準元件之邊界處繞線的另一電源軌,此情形類似於圖4及圖5中之標準元件。另外,標準元件庫705可包括描述標準元件之特性的其他資訊,諸如模擬模型及電源模型。在一實施例中,標準元件庫705儲存於機器可讀媒體中,接著藉由編譯器715載入以用於處理。
使用硬體描述檔案710及標準元件庫705,編譯器產生積體電路之實體佈局720。在一實施例中,編譯器715將硬體描述檔案710轉換成接線對照表。接線對照表為積體電路設計在邏輯視圖層級之標準元件表示。接線對照表係由標 準元件庫閘之例項及閘之間的埠連接性組成。編譯器715接著藉由指派接線對照表中之每一閘之位置且拉引(draw)閘之元件接針之間的互連線來建立積體電路之實體實施。將所得資料轉換成具有幾何形狀、文字標籤及其他資訊的表示積體電路之佈局的標準化格式,諸如圖形資料元件庫系統II(DSS II)。
製造設施725自編譯器接收佈局720且形成由佈局所界定之形狀(例如,金屬導線、閘、通孔等)。在一實施例中,設施725產生微影光罩且使用微影光罩來製造IC。製造設施725可使用具有最小線寬(諸如,90nm、65nm、45nm、32nm、22nm等)之標準CMOS邏輯製程。所使用之CMOS邏輯製程之大小通常界定可使用微影光罩製造於晶片上之最小微影尺寸,最小微影尺寸又判定最小組件大小。在實施例中,光透射穿過此等微影遮罩而至矽晶圓上以將積體電路之佈局轉印至晶圓上。
程序之最終結果為自標準元件建置之執行電路設計者所要之功能性的基於元件之積體電路730。因為積體電路係自具有沿著標準元件內部繞線之一電源接針及沿著元件之邊界繞線的另一電源接針之緊密標準元件設計並製造,所以所得積體電路比自習知標準元件製成之積體電路更為緊密。在一些狀況下,該積體電路可比自習知標準元件製成之積體電路小多達15%。
圖8說明用於產生積體電路之佈局(亦即,積體電路之表示)之方法的一實施例。在一實施例中,藉由編譯器715執 行方法。在方法之一實施例中,編譯器715接收(810)一或多個標準元件。舉例而言,元件可作為標準元件庫之部分儲存於電腦100之電腦可讀媒體中且藉由編譯器715載入至記憶體中,或可藉由編譯器715經由網路自另一電腦100擷取元件。以類似於圖4、圖5、圖6A或圖6B中所展示之方式的方式組態元件中之一或多者。舉例而言,元件可包括在元件之一邊界處的用於攜載VSS電壓之金屬導線及沿著元件之內部定位的用於攜載VDD電壓之第二金屬導線。一或多個元件接針位於VDD與元件之對置邊界之間。在一些實施例中,攜載Vx電壓電位之第三金屬導線亦位於元件之對置邊界處。
編譯器715藉由將標準元件之例項配置成元件之列而產生(820)積體電路之組塊的佈局(亦即,積體電路之組塊的表示)。在一實施例中,所得佈局類似於圖6A或圖6B中所展示之佈局。舉例而言,元件經配置以使得元件之一些鄰近及鄰接列共用共同電源軌,且元件之每一列具有跨越列之內部而繞線之電源軌。接著輸出(830)元件組塊之佈局,該輸出可包括將佈局儲存至非暫時性電腦可讀媒體。
圖9說明用於製造積體電路之方法之一實施例。舉例而言,可藉由遵循藉由編譯器715所產生之佈局來製造積體電路。在該方法之一實施例中,在金屬層中形成(910)用於攜載積體電路之元件的輸入或輸出信號之元件接針。沿著積體電路之元件的第一邊界形成(920)用於攜載電源供應電壓(例如,VDD)之金屬導線。沿著元件之內部形成(930)用 於攜載第二電源供應電壓(例如,VSS)之第二金屬導線。金屬導線經形成以使得元件接針位於第二金屬導線與一邊界之間,該邊界與元件之第一邊界對置。在一實施例中,所製造之積體電路看起來類似於圖6A及圖6B中之說明。
所揭示之實施例因此有益於建立更緊密之積體電路。藉由沿著元件之內部為一電源軌繞線且沿著元件之邊界為另一電源軌繞線,可更大地簡化元件內之源極及汲極接線。藉由允許元件接針分散於內部電源軌上方及下方兩者,亦簡化元件內之內部繞線。結果,標準元件及自標準元件所建立之積體電路的大小皆顯著地得以減小。
額外的組態考慮事項
遍及本說明書,複數個例子可實施描述為單一例子之組件、操作或結構。儘管將一或多種方法之個別操作說明且描述為單獨操作,但可同時執行個別操作中之一或多者,且並不要求按所說明之次序執行操作。在實例組態中呈現為單獨組件之結構及功能性可實施為組合結構或組件。類似地,呈現為單一組件之結構及功能性可實施為單獨組件。此等及其他變化、修改、添加以及改良屬於本文中之標的物的範疇。
可藉由經暫時組態(例如,藉由軟體)或永久組態以執行相關操作之一或多個處理器至少部分地執行本文中所描述之實例方法的各種操作(諸如,藉由編譯器所執行之彼等操作)。不論是暫時組態抑或永久組態,此等處理器可構成操作以執行一或多個操作或功能的處理器實施之模組。 本文中所涉及之模組可在一些實例實施例中包含處理器實施之模組。
類似地,可至少部分地藉由處理器實施本文中所描述之方法。舉例而言,可藉由一或多個處理器或處理器實施之硬體模組來執行方法之操作中的至少一些。特定操作之效能可分散於不僅駐留於單一機器內而且跨越數個機器部署之一或多個處理器間。在一些實例實施例中,一或多個處理器可位於單一位置(例如,在家庭環境、辦公環境內或作為伺服器群)中,而在其他實施例中,處理器可跨越數個位置而分散。
一或多個處理器亦可操作以支援「雲端計算」環境中或作為「軟體即服務」(SaaS)之相關操作之效能。舉例而言,可藉由電腦(作為包括處理器之機器的實例)之群組執行操作中之至少一些,此等操作可經由網路(例如,網際網路)且經由一或多個適當介面(例如,應用程式設計介面(API))存取。
特定操作之效能可分散於不僅駐留於單一機器內而且跨越數個機器部署之一或多個處理器間。在一些實例實施例中,一或多個處理器或處理器實施之模組可位於單一地理位置(例如,在家庭環境、辦公環境或伺服器群內)。在其他實例實施例中,一或多個處理器或處理器實施之模組可跨越數個地理位置而分散。
除非以其他方式具體陳述,否則使用詞(諸如,「處理」、「計算(computing/calculating)」、「判定」、「呈現」、 「顯示」或其類似者)之本文中之論述可指代機器(例如,電腦)之動作或程序,該機器操縱或轉變一或多個記憶體(例如,揮發性記憶體、非揮發性記憶體或其組合)、暫存器或接收、儲存、傳輸或顯示資訊之其他機器組件內的表示為實體(例如,電子、磁性或光學)量之資料。
如本文中所使用,對「一實施例」或「實施例」之任何參考意謂,結合實施例所描述之特定元件、特徵、結構或特性包括於至少一實施例中。在本說明書中,在各處出現片語「在一實施例中」未必皆指代同一實施例。
可使用表達「耦接」及「連接」連同其衍生詞描述一些實施例。舉例而言,可使用術語「耦接」描述一些實施例以指示兩個或兩個以上元件直接實體接觸或電接觸。然而,術語「耦接」亦可意謂兩個或兩個以上元件不彼此直接接觸,但仍然彼此合作或互動。實施例不限於此上下文中。
如本文中所使用,術語「包含」、「包括」、「具有」或其任何其他變化形式意欲涵蓋非排他性包括。舉例而言,包含元素之清單之程序、方法、物件或裝置未必僅限於彼等元素,而可包括未明確地列出或此程序、方法、物件或裝置所固有之其他元素。此外,除非明確地相反陳述,否則「或」指代包括性或而非排他性或。舉例而言,條件A或B藉由以下各項中之任一項來滿足:A真(或存在)且B假(或不存在),A假(或不存在)且B真(或存在),及A及B兩者均真(或存在)。
另外,「一」之使用用以描述本文中之實施例的元件及組件。僅出於方便起見且為了改良本發明之清晰度而進行此使用。此描述應被理解為:包括一個或至少一個,且除非其明顯地意謂其他意義,否則單數亦包括複數。
在閱讀本發明後,熟習此項技術者仍將瞭解用於經由本文中所揭示之原理自緊密標準元件之標準元件庫建立積體電路的系統之額外替代結構及功能設計。因此,儘管已說明且描述了特定實施例及應用,但應理解,所揭示之實施例不限於本文中所揭示之精確構造及組件。在不脫離附加申請專利範圍中所界定之精神及範疇的情況下,可在本文中所揭示之方法及裝置的配置、操作及細節上進行熟習此項技術者將顯而易見之各種修改、改變及變化。
100‧‧‧電腦系統/電腦
102‧‧‧處理器
104‧‧‧主記憶體
106‧‧‧靜態記憶體
108‧‧‧匯流排
116‧‧‧儲存單元
122‧‧‧機器可讀媒體
124‧‧‧指令
205‧‧‧PMOS電晶體
210‧‧‧PMOS電晶體
215‧‧‧電晶體
220‧‧‧NMOS電晶體
300‧‧‧習知標準元件
305‧‧‧閘極
310‧‧‧閘極
315‧‧‧閘極
320‧‧‧閘極
325‧‧‧導線
330‧‧‧導線/信號接針
335‧‧‧導線/信號接針
350‧‧‧導線/電源接針
355‧‧‧導線/電源接針
400‧‧‧緊密標準元件
405‧‧‧閘極
410‧‧‧閘極
415‧‧‧閘極
420‧‧‧閘極
425‧‧‧導線/信號接針
430‧‧‧導線
435‧‧‧導線
450‧‧‧第一電源接針/VDD電源接針
455‧‧‧第二電源接針/VSS電源接針
460‧‧‧區
465‧‧‧區
480‧‧‧擴散區域
485‧‧‧擴散區域
500‧‧‧緊密標準元件
505‧‧‧輸入接針
510‧‧‧輸出接針
550‧‧‧電源接針/VDD接針
555‧‧‧電源接針/VSS接針
580‧‧‧擴散區
585‧‧‧擴散區域
610‧‧‧標準元件例項/元件
612‧‧‧標準元件例項/元件
614‧‧‧標準元件例項/元件
616‧‧‧標準元件例項/元件
620‧‧‧信號接針
630‧‧‧VSS電源軌
632‧‧‧VDD電源軌
650‧‧‧Vx電源軌
652‧‧‧Vx電源軌
705‧‧‧標準元件庫
710‧‧‧硬體描述檔案
715‧‧‧編譯器
720‧‧‧實體佈局
725‧‧‧製造設施
730‧‧‧基於元件之積體電路
A1‧‧‧輸入信號
A2‧‧‧輸入信號
VDD‧‧‧正供應電壓
VSS‧‧‧負供應電壓
X‧‧‧輸出
圖1說明能夠自機器可讀媒體讀取指令且在處理器(或控制器)中執行該等指令之實例機器之組件的一實施例。
圖2A說明NAND閘之邏輯符號之一實施例。
圖2B說明用以建立圖2A之NAND閘之四個電晶體的示意圖之一實施例。
圖3說明實施圖2A及圖2B之NAND閘之習知標準元件。
圖4說明實施圖2A及圖2B之NAND閘之緊密標準元件的一實施例。
圖5說明實施反相器之緊密標準元件之一實施例。
圖6A說明包括若干標準元件例項之佈局之一實施例。
圖6B說明包括若干標準元件例項之佈局之另一實施例。
圖7說明自標準元件庫產生積體電路之程序之高階概述的一實施例。
圖8說明用於產生積體電路之佈局之方法的一實施例。
圖9說明用於製造積體電路之方法之一實施例。
205‧‧‧PMOS電晶體
210‧‧‧PMOS電晶體
215‧‧‧電晶體
220‧‧‧NMOS電晶體
400‧‧‧緊密標準元件
405‧‧‧閘極
410‧‧‧閘極
415‧‧‧閘極
420‧‧‧閘極
425‧‧‧導線/信號接針
430‧‧‧導線
435‧‧‧導線
450‧‧‧第一電源接針/VDD電源接針
455‧‧‧第二電源接針/VSS電源接針
460‧‧‧區
465‧‧‧區
480‧‧‧擴散區域
485‧‧‧擴散區域
A1‧‧‧輸入信號
A2‧‧‧輸入信號
VDD‧‧‧正供應電壓
VSS‧‧‧負供應電壓
X‧‧‧輸出

Claims (27)

  1. 一種實施一基於元件之架構的積體電路,該積體電路中之至少一元件包含:一或多個閘極,其在一第一方向上定向;一第一金屬導線,其沿著該元件之一第一邊界延伸以用於攜載一第一電源供應電壓,該第一金屬導線係在與該第一方向正交之一第二方向上定向;一第二金屬導線,其沿著該元件之一內部延伸以用於攜載一第二電源供應電壓,該第二金屬導線係在該第二方向上定向;一第一元件接針,其用於攜載該元件之一輸入信號或一輸出信號中之一者且位於該第二金屬導線與該元件之一第二邊界之間,該第二邊界與該第一邊界對置;及一第二元件接針,其用於攜載該元件之一輸入信號或一輸出信號中之一者且位於該第一金屬導線與該第二金屬導線之間。
  2. 如請求項1之積體電路,其中該第一元件接針係在一第一金屬層中,且該第二金屬導線係在該第一金屬層上方之一第二金屬層中。
  3. 如請求項2之積體電路,其中該第一金屬導線係在該第二金屬層中。
  4. 一種實施一基於元件之架構的積體電路,該積體電路中之至少一元件包含:一第一金屬導線,其沿著該元件之一第一邊界延伸以 用於攜載一第一電源供應電壓;一第二金屬導線,其沿著該元件之一內部延伸以用於攜載一第二電源供應電壓;及一第一元件接針,其用於攜載該元件之一輸入信號或輸出信號中之一者且位於該第二金屬導線與該元件之一第二邊界之間,該第二邊界與該第一邊界對置。
  5. 如請求項4之積體電路,其中該元件進一步包含一或多個閘極,該一或多個閘極係在與第一電源軌及第二電源軌所延伸之一方向正交的一方向上定向。
  6. 如請求項4之積體電路,其中該第一元件接針係在一第一金屬層中,且該第二金屬導線係在該第一金屬層上方之一第二金屬層中。
  7. 如請求項6之積體電路,其中該第一金屬導線係在該第二金屬層中。
  8. 如請求項4之積體電路,其中該元件進一步包含一第二元件接針,該第二元件接針對應於該元件之一輸入信號或一輸出信號中之一者且置放於該第一金屬導線與該第二金屬導線之間。
  9. 如請求項4之積體電路,其中在建立該積體電路之一佈局時,自一元件庫選擇該元件,該元件庫具有一P:N比,該P:N比指示該元件庫之一最大PMOS電晶體寬度大於該元件庫之一最大NMOS電晶體寬度,且其中該第二電源供應電壓具有高於該第一電源供應電壓之一電壓電位。
  10. 如請求項4之積體電路,其中在建立該積體電路之一佈局時,自一元件庫選擇該元件,該元件庫具有一P:N比,該P:N比指示該元件庫之一最大NMOS電晶體寬度大於該元件庫之一最大PMOS電晶體寬度,且其中該第一電源供應電壓具有高於該第二電源供應電壓之一電壓電位。
  11. 如請求項4之積體電路,其進一步包含一第三金屬導線,該第三金屬導線沿著該元件之該第二邊界延伸以用於攜載一第三電源供應電壓。
  12. 如請求項4之積體電路,其進一步包含將該元件之一第一電晶體連接至該元件之一第二電晶體的內部金屬繞線,其中該內部金屬繞線之至少一部分位於該第二金屬導線與該元件之該第二邊界之間。
  13. 一種經組態以儲存指令之非暫時性電腦可讀媒體,該等指令在藉由一處理器執行時使該處理器執行包含以下各者之步驟:接收複數個元件,該等元件中之至少一者包含:一第一金屬導線,其沿著該元件之一第一邊界延伸以用於攜載一第一電源供應電壓;一第二金屬導線,其沿著該元件之一內部延伸以用於攜載一第二電源供應電壓;及一第一元件接針,其用於攜載該元件之一輸入信號或輸出信號中之一者且位於該第二金屬導線與該元件之一第二邊界之間,該第二邊界與該第一邊界對置;及 自該複數個元件產生一積體電路之一佈局。
  14. 如請求項13之電腦可讀媒體,其中該元件進一步包含一或多個閘極,該一或多個閘極與第一電源軌及第二電源軌正交。
  15. 如請求項13之電腦可讀媒體,其中該第一元件接針係在一第一金屬層中,且該第二金屬導線係在該第一金屬層上方之一第二金屬層中。
  16. 如請求項15之電腦可讀媒體,其中該第一金屬導線係在該第二金屬層中。
  17. 如請求項13之電腦可讀媒體,其中該等元件中之至少一者進一步包含一第二元件接針,該第二元件接針對應於該元件之一輸入信號或輸出信號中之一者且位於該第一金屬導線與該第二金屬導線之間。
  18. 一種用於製造一積體電路之方法,其包含:形成一第一元件接針,該第一元件接針用於攜載該積體電路之一元件的一輸入信號或輸出信號中之一者;形成一第一金屬導線,該第一金屬導線用於攜載一第一電源供應電壓,該第一金屬導線係沿著該元件之一第一邊界形成;及形成一第二金屬導線,該第二金屬導線用於攜載一第二電源供應電壓,該第二金屬導線係沿著該元件之一內部形成,其中該第一元件接針係在該第二金屬導線與該元件之一第二邊界之間形成,該第二邊界與該第一邊界對置。
  19. 如請求項18之方法,其進一步包含形成一或多個閘極,該一或多個閘極與第一電源軌及第二電源軌正交。
  20. 如請求項18之方法,其中該第一元件接針係在一第一金屬層中形成,且該第二金屬導線係在該第一金屬層上方之一第二金屬層中形成。
  21. 如請求項20之方法,其中該第一金屬導線係在該第二金屬層中形成。
  22. 如請求項18之方法,其進一步包含形成一第二元件接針,該第二元件接針對應於該元件之一輸入信號或輸出信號中之一者且形成於該第一金屬導線與該第二金屬導線之間。
  23. 一種儲存一標準元件庫之電腦可讀媒體,該標準元件庫中之至少一元件包含:一第一金屬導線,其沿著該元件之一第一邊界延伸以用於攜載一第一電源供應電壓;一第二金屬導線,其沿著該元件之一內部延伸以用於攜載一第二電源供應電壓;及一第一元件接針,其用於攜載該元件之一輸入信號或輸出信號中之一者且位於該第二金屬導線與該元件之一第二邊界之間,該第二邊界與該第一邊界對置。
  24. 如請求項23之電腦可讀媒體,其中該元件進一步包含一或多個閘極,該一或多個閘極係在與第一電源軌及第二電源軌所延伸之一方向正交的一方向上定向。
  25. 如請求項23之電腦可讀媒體,其中該第一元件接針係在 一第一金屬層中,且該第二金屬導線係在該第一金屬層上方之一第二金屬層中。
  26. 如請求項25之電腦可讀媒體,其中該第一金屬導線係在該第二金屬層中。
  27. 如請求項23之電腦可讀媒體,其中該元件進一步包含一第二元件接針,該第二元件接針對應於該元件之一輸入信號或一輸出信號中之一者且置放於該第一金屬導線與該第二金屬導線之間。
TW101107230A 2011-03-03 2012-03-03 在標準元件中之電源繞線 TWI538095B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US13/040,228 US8742464B2 (en) 2011-03-03 2011-03-03 Power routing in standard cells

Publications (2)

Publication Number Publication Date
TW201240015A TW201240015A (en) 2012-10-01
TWI538095B true TWI538095B (zh) 2016-06-11

Family

ID=46752793

Family Applications (1)

Application Number Title Priority Date Filing Date
TW101107230A TWI538095B (zh) 2011-03-03 2012-03-03 在標準元件中之電源繞線

Country Status (3)

Country Link
US (2) US8742464B2 (zh)
TW (1) TWI538095B (zh)
WO (1) WO2012118668A1 (zh)

Families Citing this family (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8742464B2 (en) 2011-03-03 2014-06-03 Synopsys, Inc. Power routing in standard cells
US10204203B2 (en) * 2012-12-31 2019-02-12 Synopsys, Inc. Pattern-based power-and-ground (PG) routing and via creation
US9122830B2 (en) * 2013-06-03 2015-09-01 Globalfoundries Inc. Wide pin for improved circuit routing
US9704846B1 (en) * 2013-10-04 2017-07-11 Pdf Solutions, Inc. IC chips containing a mixture of standard cells obtained from an original set of design rules and enhanced standard cells that are a substantially uniform variant of the original set of design rules and methods for making the same
US9136267B2 (en) 2014-02-07 2015-09-15 Omnivision Technologies, Inc. Standard cell global routing channels over active regions
US9483600B2 (en) 2014-03-14 2016-11-01 Qualcomm Incorporated Multi supply cell arrays for low power designs
US9070552B1 (en) * 2014-05-01 2015-06-30 Qualcomm Incorporated Adaptive standard cell architecture and layout techniques for low area digital SoC
US9887209B2 (en) 2014-05-15 2018-02-06 Qualcomm Incorporated Standard cell architecture with M1 layer unidirectional routing
US9646960B2 (en) 2015-02-26 2017-05-09 Samsung Electronics Co., Ltd. System-on-chip devices and methods of designing a layout therefor
US9640480B2 (en) 2015-05-27 2017-05-02 Qualcomm Incorporated Cross-couple in multi-height sequential cells for uni-directional M1
US9502351B1 (en) 2015-09-15 2016-11-22 Qualcomm Incorporated Multiple split rail standard cell library architecture
US9660627B1 (en) 2016-01-05 2017-05-23 Bitfury Group Limited System and techniques for repeating differential signals
US9645604B1 (en) 2016-01-05 2017-05-09 Bitfury Group Limited Circuits and techniques for mesochronous processing
US9514264B1 (en) 2016-01-05 2016-12-06 Bitfury Group Limited Layouts of transmission gates and related systems and techniques
EP3229270A1 (en) 2016-04-06 2017-10-11 IMEC vzw Integrated circuit power distribution network
US10262981B2 (en) 2016-04-29 2019-04-16 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit, system for and method of forming an integrated circuit
US10846452B2 (en) * 2016-07-01 2020-11-24 Globalfoundries Inc. Method, apparatus and system for wide metal line for SADP routing
US9977854B2 (en) * 2016-07-12 2018-05-22 Ati Technologies Ulc Integrated circuit implementing standard cells with metal layer segments extending out of cell boundary
US10127340B2 (en) 2016-09-30 2018-11-13 Taiwan Semiconductor Manufacturing Company, Ltd. Standard cell layout, semiconductor device having engineering change order (ECO) cells and method
KR20180037819A (ko) * 2016-10-05 2018-04-13 삼성전자주식회사 변형 셀을 포함하는 집적 회로 및 그 설계 방법
US10740531B2 (en) * 2016-11-29 2020-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit, system for and method of forming an integrated circuit
KR102643003B1 (ko) * 2016-12-14 2024-03-05 삼성전자주식회사 파워 레일의 오믹 강하를 감소하는 회로 체인을 포함하는 집적 회로
US10497702B2 (en) * 2017-04-14 2019-12-03 Qualcomm Incorporated Metal-oxide semiconductor (MOS) standard cells employing electrically coupled source regions and supply rails to relax source-drain tip-to-tip spacing between adjacent MOS standard cells
US10402534B2 (en) * 2017-09-28 2019-09-03 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit layout methods, structures, and systems
US11409935B2 (en) 2017-12-27 2022-08-09 Intel Corporation Pin must-connects for improved performance
EP3522044B1 (en) * 2018-01-31 2021-09-01 Nxp B.V. Method of designing an integrated circuit
US11017146B2 (en) 2018-07-16 2021-05-25 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit and method of forming the same
US10672770B2 (en) 2018-08-14 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure
US10886224B2 (en) 2019-05-22 2021-01-05 Samsung Electronics Co., Ltd. Power distribution network using buried power rail
US11710733B2 (en) * 2020-03-03 2023-07-25 Qualcomm Incorporated Vertical power grid standard cell architecture
US11290109B1 (en) * 2020-09-23 2022-03-29 Qualcomm Incorporated Multibit multi-height cell to improve pin accessibility

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5943243A (en) 1996-10-28 1999-08-24 International Business Machines Corporation Method and system for removing hardware design overlap
US6091090A (en) 1997-09-19 2000-07-18 In-Chip Systems, Inc. Power and signal routing technique for gate array design
US6838713B1 (en) 1999-07-12 2005-01-04 Virage Logic Corporation Dual-height cell with variable width power rail architecture
GB9929084D0 (en) 1999-12-08 2000-02-02 Regan Timothy J Modification of integrated circuits
US6671866B2 (en) 2000-02-29 2003-12-30 Cadence Design Systems, Inc. Device level layout optimization in electronic design automation
US6617621B1 (en) 2000-06-06 2003-09-09 Virage Logic Corporation Gate array architecture using elevated metal levels for customization
US6857116B1 (en) 2000-11-15 2005-02-15 Reshape, Inc. Optimization of abutted-pin hierarchical physical design
US6637016B1 (en) 2001-04-25 2003-10-21 Lsi Logic Corporation Assignment of cell coordinates
US6717222B2 (en) * 2001-10-07 2004-04-06 Guobiao Zhang Three-dimensional memory
US7219324B1 (en) 2003-06-02 2007-05-15 Virage Logic Corporation Various methods and apparatuses to route multiple power rails to a cell
US7069522B1 (en) 2003-06-02 2006-06-27 Virage Logic Corporation Various methods and apparatuses to preserve a logic state for a volatile latch circuit
JP2005115785A (ja) 2003-10-09 2005-04-28 Nec Electronics Corp 半導体装置の配線方法、半導体装置の製造方法及び半導体装置
JP4637512B2 (ja) * 2003-11-13 2011-02-23 ルネサスエレクトロニクス株式会社 半導体集積回路装置
US8095903B2 (en) 2004-06-01 2012-01-10 Pulsic Limited Automatically routing nets with variable spacing
US7475379B2 (en) 2004-06-23 2009-01-06 International Business Machines Corporation Methods and systems for layout and routing using alternating aperture phase shift masks
US7191424B2 (en) 2004-08-30 2007-03-13 Lsi Logic Corporation Special tie-high/low cells for single metal layer route changes
JP2006155524A (ja) 2004-12-01 2006-06-15 Nec Electronics Corp 半導体集積回路の検証方法、検証装置および検証プログラム
US7761831B2 (en) 2005-12-29 2010-07-20 Mosaid Technologies Incorporated ASIC design using clock and power grid standard cell
US7763534B2 (en) 2007-10-26 2010-07-27 Tela Innovations, Inc. Methods, structures and designs for self-aligning local interconnects used in integrated circuits
US7989849B2 (en) 2006-11-15 2011-08-02 Synopsys, Inc. Apparatuses and methods for efficient power rail structures for cell libraries
JP5175482B2 (ja) * 2007-03-29 2013-04-03 ルネサスエレクトロニクス株式会社 半導体装置
US7895548B2 (en) 2007-10-26 2011-02-22 Synopsys, Inc. Filler cells for design optimization in a place-and-route system
US7927782B2 (en) 2007-12-28 2011-04-19 Texas Instruments Incorporated Simplified double mask patterning system
TWI386826B (zh) 2008-03-11 2013-02-21 Rdc Semiconductor Co Ltd 双端邏輯元件的方位決定方法
US7760578B2 (en) 2008-10-20 2010-07-20 Lsi Logic Corporation Enhanced power distribution in an integrated circuit
US7919792B2 (en) 2008-12-18 2011-04-05 Taiwan Semiconductor Manufacturing Company, Ltd. Standard cell architecture and methods with variable design rules
US8314635B2 (en) 2009-01-22 2012-11-20 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for forming programmable transistor array comprising basic transistor units
US8742464B2 (en) 2011-03-03 2014-06-03 Synopsys, Inc. Power routing in standard cells
US8612914B2 (en) 2011-03-23 2013-12-17 Synopsys, Inc. Pin routing in standard cells

Also Published As

Publication number Publication date
US8742464B2 (en) 2014-06-03
WO2012118668A1 (en) 2012-09-07
US20140229908A1 (en) 2014-08-14
US8941150B2 (en) 2015-01-27
TW201240015A (en) 2012-10-01
US20120223368A1 (en) 2012-09-06

Similar Documents

Publication Publication Date Title
TWI538095B (zh) 在標準元件中之電源繞線
JP6309608B2 (ja) 集積回路の異なる階層上の、読取/書込ポートおよびアクセスロジックを有する3dメモリセル
US10691859B2 (en) Integrated circuit and method of designing layout of integrated circuit
TWI585601B (zh) 半導體積體電路及其設計方法、佈局、以及設備
US8132142B2 (en) Various methods and apparatuses to route multiple power rails to a cell
US20210406439A1 (en) Metal zero power ground stub route to reduce cell area and improve cell placement at the chip level
US9536035B2 (en) Wide pin for improved circuit routing
US7882476B2 (en) Semiconductor integrated circuit device formed by automatic layout wiring by use of standard cells and design method of fixing its well potential
US9455026B2 (en) Shared global read and write word lines
TWI684253B (zh) 三維(3D)積體電路(ICs)(3DICs)中之功率閘配置技術
CN107683474B (zh) 用于单向m1的多高度顺序单元中的交叉耦合的时钟信号分发布局
KR20180054740A (ko) 하이브리드 확산 표준 라이브러리 셀들, 및 관련된 시스템들 및 방법들
JP2008263185A (ja) 半導体集積回路
CN117999651A (zh) 用于利用减小的接触栅极多晶硅间距和双高度单元来减小电压降的标准单元设计架构
JP2007103579A (ja) 半導体集積回路装置、並びに半導体集積回路装置における電源及びグランド配線レイアウト方法
JP2010073728A (ja) 半導体集積回路レイアウト設計方法及び半導体集積回路レイアウト設計装置
JP4441541B2 (ja) 半導体装置