TWI523731B - 化學機械研磨系統及方法 - Google Patents

化學機械研磨系統及方法 Download PDF

Info

Publication number
TWI523731B
TWI523731B TW101122932A TW101122932A TWI523731B TW I523731 B TWI523731 B TW I523731B TW 101122932 A TW101122932 A TW 101122932A TW 101122932 A TW101122932 A TW 101122932A TW I523731 B TWI523731 B TW I523731B
Authority
TW
Taiwan
Prior art keywords
wafer
polished
chemical mechanical
polishing
height
Prior art date
Application number
TW101122932A
Other languages
English (en)
Other versions
TW201332714A (zh
Inventor
吳健立
李柏毅
黃循康
彭至億
楊棋銘
林進祥
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201332714A publication Critical patent/TW201332714A/zh
Application granted granted Critical
Publication of TWI523731B publication Critical patent/TWI523731B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/005Control means for lapping machines or devices
    • B24B37/013Devices or means for detecting lapping completion
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/005Control means for lapping machines or devices
    • B24B37/015Temperature control
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B49/00Measuring or gauging equipment for controlling the feed movement of the grinding tool or work; Arrangements of indicating or measuring equipment, e.g. for indicating the start of the grinding operation
    • B24B49/10Measuring or gauging equipment for controlling the feed movement of the grinding tool or work; Arrangements of indicating or measuring equipment, e.g. for indicating the start of the grinding operation involving electrical means
    • B24B49/105Measuring or gauging equipment for controlling the feed movement of the grinding tool or work; Arrangements of indicating or measuring equipment, e.g. for indicating the start of the grinding operation involving electrical means using eddy currents
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B49/00Measuring or gauging equipment for controlling the feed movement of the grinding tool or work; Arrangements of indicating or measuring equipment, e.g. for indicating the start of the grinding operation
    • B24B49/14Measuring or gauging equipment for controlling the feed movement of the grinding tool or work; Arrangements of indicating or measuring equipment, e.g. for indicating the start of the grinding operation taking regard of the temperature during grinding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement

Landscapes

  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Finish Polishing, Edge Sharpening, And Grinding By Specific Grinding Devices (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)

Description

化學機械研磨系統及方法
本發明係有關於一種化學機械研磨系統及方法,特別係有關於一種具有極度精確的晶圓表面平坦度的化學機械研磨系統及方法。
過去四十年來,積體電路的密度係以習知的摩爾定律(Moore’s law)增加。簡單來說,摩爾定律說明積體電路(ICs)上的電晶體的數量約每隔18個月便會增加一倍。因此,只要半導體產業可繼續維持此簡單的”定律”,積體電路的速度和功率約每隔18個月便會增加一倍。大部分來說,這種積體電路的速度和功率的卓越增加迎來了資訊時代的曙光。
不像適用於人類活動的自然定律,摩爾定律只適用於改革者克服技術挑戰。近數十年來,改革者創造的優點之一為使用化學機械研磨(CMP)法平坦化用以建造積體電路的層,因而有助於在積體電路上提供具精確結構的元件。
為了限制平坦化製程的缺點,需要一種改良的平坦化製程。
有鑑於此,本發明揭露之一實施例係提供一種化學機械研磨(CMP)系統。上述CMP系統包括一晶圓載座,用以承載一晶圓,上述包括複數個待研磨晶圓表面區;複數個同中心溫度控制元件,分別接近上述些待研磨晶圓表面區;一表面平坦度分析器,於研磨期間量測上述些待研磨 晶圓表面區的相對高度;一回饋路徑,將上述表面平坦度分析器耦合至上述些中心溫度控制元件,上述回饋路徑基於上述表面平坦度分析器量測相應的上述些待研磨晶圓表面區的相對高度來調整各別上述些同中心溫度控制元件提供的各別溫度。
本發明揭露之另一實施例係提供一種化學機械研磨(CMP)系統。上述CMP系統包括一平臺,係配置沿一平臺軸旋轉;一研磨墊,配置於上述平臺上方;一研磨液配料器,於上述研磨墊上施加有研磨作用的一研磨液;一晶圓載座,用以環繞地承載一晶圓,且於上述研磨墊上方旋轉上述晶圓,使複數個同中心的待研磨晶圓表面區接觸施加上述研磨墊上的上述研磨液;一表面平坦度分析器,於研磨期間量測上述些待研磨晶圓表面區的相對高度;複數個同中心加熱元件,分別接近上述些待研磨晶圓表面區,且基於上述表面平坦度分析器量測相應的上述些待研磨晶圓表面區的相對高度以各別加熱接近上述些待研磨晶圓表面區的研磨液區。
本發明揭露之又另一實施例係提供一種化學機械研磨(CMP)方法。上述方法將一晶圓裝載於一化學機械研磨站上,上述晶圓包括複數個同中心的待研磨晶圓表面;於上述化學機械研磨站的一研磨墊和上述些待研磨晶圓表面之間提供具研磨作用的一研磨液;當上述晶圓和上述研磨墊相對彼此移動時,藉由利用上述研磨墊和上述研磨液對上述些待研磨晶圓表面施加一壓力的方式來研磨上述晶圓;當上述晶圓和上述研磨墊相對彼此移動時且當施加上述壓 力時,量測上述些待研磨晶圓表面的相對高度;基於量測的上述些相對高度來各別調整與上述些待研磨晶圓表面相關的溫度。
以下以各實施例詳細說明並伴隨著圖式說明之範例,做為本發明之參考依據。在圖式或說明書描述中,相似或相同之部分皆使用相同之圖號。且在圖式中,實施例之形狀或是厚度可擴大,並以簡化或是方便標示。再者,圖式中各元件之部分將以分別描述說明之,值得注意的是,圖中未繪示或描述之元件,為所屬技術領域中具有通常知識者所知的形式。
第1圖為本發明實施例之一化學機械研磨(chemical mechanical polishing,以下簡稱CMP)系統100的方塊圖。CMP系統100可包括化學機械研磨(CMP)站102,其包括研磨頭104,以於CMP操作期間維持一或多個(半導體)晶圓106。上述研磨頭104包括多個同中心的溫度控制元件108,例如加熱或冷卻元件,溫度控制元件108分別接近複數個同中心的待研磨晶圓表面。
第2圖為一晶圓106a的上視圖,其包括複數個同中心的待研磨晶圓表面110a~110c,同時,第3圖顯示分別接近待研磨晶圓表面110a~110c的溫度控制元件112a~112c。可以了解雖然第2~3圖係顯示三個同中心的待研磨晶圓表面以及三個溫度控制元件,但是任意數量的待研磨晶圓表面以及溫度控制元件仍不脫離本發明之精神和範圍。
請參考第1圖,在研磨期間,晶圓表面平坦度感測器 114係量測各別的待研磨晶圓表面(例如第2圖的待研磨晶圓表面110a~110c)的平坦度。回饋路徑116係將晶圓表面平坦度感測器114耦接至溫度控制元件108。回饋路徑116包括控制器117和記憶體118,其中記憶體118係儲存例行操作120的命令。上述例行操作120包括一即時表面輪廓分析模組122和一多重區域溫度控制模組124。即時表面輪廓分析模組122係分析利用晶圓表面平坦度感測器114量測的待研磨晶圓表面待研磨晶圓表面。基於各別待研磨晶圓表面的平坦度(或沒有平坦度),多重區域溫度控制模組124可以改變接近各別的待研磨晶圓表面之的各別溫度控制元件的溫度。因為CMP研磨速度係正比於溫度,此種表面靠表面(surface-by-surface)的溫度控制方案可有助於提供極度精確的平坦度。舉例來說,如果一個待研磨晶圓表面(例如第2圖的待研磨晶圓表面110b)為相對地高(例如山丘),可增加相應的溫度控制元件(例如第3圖的溫度控制元件112b)的溫度,使其相對高於相鄰的溫度控制元件(例如第3圖的溫度控制元件112a、112c)。相反地,如果一個待研磨晶圓表面(例如第2圖的待研磨晶圓表面110b)為相對地低(例如山谷),可降低相應的溫度控制元件(例如第3圖的溫度控制元件112b)的溫度,使其相對高於相鄰的溫度控制元件(例如第3圖的溫度控制元件112a、112c)。因此,在研磨期間可以一連續且不間斷的方式獨立地改變各別的待研磨晶圓表面的溫度,以修改各別待研磨晶圓表面的各別研磨速度,因而提供極度均勻的平坦度。
雖然第1圖顯示的即時表面輪廓分析模組122和多重 區域溫度控制模組124為軟體模組,但是這些模組也可應用於純硬體模組(例如特殊應用積體電路(ASIC)或硬體和軟體的組合)。另外,第1圖顯示的其他方塊可包括以任意數量的方法互相混合(inter-mixed)的多種實施例。舉例來說,實際上記憶體118不但可位於CMP站102中的晶圓表面平坦度感測器114中,而且可位於控制器117中,且例行操作120可位於記憶體118中。
第4-5圖為本發明實施例之另一化學機械研磨(CMP)站400的上視圖和剖面圖。CMP站400包括一平臺402、由平臺402支撐的研磨墊404,研磨頭406用以抓住晶圓408,使其於研磨期間位於研磨墊404上。晶圓載座406包括一環狀的定位環410,其中一貯藏器(pocket)412係儲藏晶圓408。研磨頭406上包括複數個同中心的可變壓力元件(variable-pressure elements,PE)414a-414c,以及複數個同中心的可變溫度元件(variable-temperature elements,TE)416a-416c。接近於貯藏器412對上述可變壓力元件414a-414c對晶圓背側408a上的相應同中心區域上施加多個各自獨立的吸力或壓力。類似地,可變溫度元件416a-416c對接近晶圓前側408b上的各別同中心表面的研磨液區施加各自獨立的溫度。晶圓前側408b上的這些同中心表面可稱為”待研磨(to-be-polished)”晶圓表面。
在一些CMP製程中,藉由可變壓力元件414對晶圓背側施加向上的吸力而將晶圓408留在貯藏器412內,舉起晶圓408並使晶圓408維持高於定位環410的下表面。然後,沿平臺軸418旋轉平臺402,上述平臺402係旋轉相 應的研磨墊404。之後,於研磨墊404上施加有研磨作用的一研磨液420。接著,一軸馬達(圖未顯示)開始沿軸線422旋轉研磨頭406。同時,研磨頭406下降,定位環410對研磨墊404上加壓,且使晶圓408凹陷正好夠久使研磨頭406到達研磨速度。當研磨頭406到達晶圓研磨速度時,位於貯藏器412內的晶圓408面朝下地下降,以接觸研磨墊404的表面及/或有研磨作用的研磨液420,使晶圓408大體上對齊定位環410且被外部的定位環410限制。定位環410和晶圓408持續對研磨墊404旋轉,且上述研磨墊404沿平臺402旋轉。此種雙重旋轉,會對晶圓408和研磨液420施加向下力,會逐漸平坦化晶圓408。
在研磨期間,平坦度感測器424量測同中心的各別上述待研磨晶圓表面區的高度。在第4、5圖所示之實施例,中,當平臺402(用以固著平坦度感測器424)和研磨頭406經歷雙重旋轉時,平坦度感測器424沿著橫越上述待研磨晶圓表面區的一路徑426移動。因此,在研磨期間,當平臺402和研磨頭406相對彼此旋轉時,平坦度感測器424會及時自然地橫越各別的上述待研磨晶圓表面區,且當平坦度感測器424橫越各別的上述待研磨晶圓表面區時,平坦度感測器424可以持續監控各別的上述待研磨晶圓表面區的高度。
在本發明一些實施例中,量測其平坦度的上層導電層例如為一銅層、一鋁層或多晶矽層。在此實施例中,平坦度感測器424可包括一感應感測器,當平坦度感測器424通過待研磨晶圓表面上方時,量測待研磨晶圓表面中感應 的一渦電流(Eddy current)。渦電流的大小係對應至平坦度感測器424和上層導電層之最接近表面之間的距離,因而允許量測複數個晶圓408。在其他實施例中,可使用光學量測或其他技術來量測平坦度。舉例來說,在本發明一些實施例中,可利用極化散射(polarized scatterometry)技術來量測平坦度,上係使用橫向電波(transverse electric wave)和橫向磁波(transverse magnetic wave)以得到待研磨晶圓表面完整的輪廓資訊。
可變壓力元件(PE)414a-414c以及可變溫度元件(TE)416a-416c可依據應用而具有多種形式。舉例來說,在本發明一些實施例中,同中心的可變壓力元件和可變溫度元件可為同中心囊狀物(例如內部管),其具有獨立的流體壓力和溫度。在其他實施例中,可藉由一馬達、一液壓元件或一電場或磁場產生器來提供利用可變壓力元件施加的壓力。也可藉由電阻加熱方式來構成可變溫度元件,上述電阻加熱方式利用將一電流或一電壓通過一電阻達到一預定溫度為止。
CMP之後,舉起研磨頭406和晶圓408,且通常對研磨墊404施加一高壓離子水噴霧,以移除殘留的研磨液和其他來自研磨墊404的顆粒。其他的顆粒可包括晶圓殘留物、CMP研磨液、氧化物、有機污染物、可移動離子或金屬雜質。接著,對晶圓408進行一後CMP清潔製程(post-CMP clean process)。
第6圖顯示研磨一晶圓的方法。上述晶圓包括複數個同中心的待研磨晶圓表面,其中相應的溫度控制元件係接 近上述待研磨晶圓表面。當開始研磨時,上層導電層的厚度係遵循第一輪廓602。當量測此輪廓時,會提供有關於各別的待研磨晶圓表面的相對高度和平坦度的回饋。基於這些平坦度,會即時調整各別溫度控制元件的溫度。因此,當研磨上層導電層時,其厚度會隨時間下降,而會及時量測相應的輪廓(輪廓604、606,...),直到理想厚度的輪廓608為止。此研磨自始至終可獨立改變各別的溫度控制元件的溫度,以限制相鄰待研磨晶圓表面之間的高度變異。舉例來說,如果一待研磨晶圓表面區的高度大於相鄰的該些待研磨晶圓表面的高度時,其相應的溫度控制元件會增加溫度(及/或會降低相鄰的該些待研磨晶圓表面的溫度)。當上層導電層到達曲線608的預定厚度時完成研磨製程。
第7圖為本發明實施例之一平坦化方法的流程圖。當本方法和本發明揭露的其他方法可顯示及/或說明一系列的技術或事件,可了解這些技術或事件的說明次序並非用以限制本發明。舉例來說,一些技術可以不同次序發生及/或與除了在說明書顯示及/或說明之上述技術或事件之外的其他技術或事件同時發生。另外,並非所有顯示的技術都需要應用於在說明書中的實施例。並且,可以一或多個分離的技術及/或階段來進行說明書中描述的一或多個技術。
如第7圖所示,方法700開始於步驟702,將一晶圓裝載於一化學機械研磨(CMP)站。上述晶圓通常會維持於具有多重壓力區和多重溫度控制元件的一研磨頭中。如前所述,CMP站平坦化晶圓(或晶圓結構)為所有晶圓製程的 一部分。通常每個晶圓包括使用交錯的導電層和絕緣層構成的多個電性連接和電性隔絕區。
在步驟704中,上述方法對一晶圓和一研磨墊之間提供具研磨作用的一研磨液。
在步驟706中,上述方法藉由上述研磨墊和具研磨作用的上述研磨液對上述晶圓表面施加壓力,試圖平坦化晶圓表面。
在步驟708中,上述方法量測上述待研磨晶圓表面的表面輪廓或平坦度,且基於量測的表面輪廓來調整CMP製程於上述同中心的待研磨晶圓表面上方的溫度。
在步驟710中,上述方法當上述輪廓達到一預定輪廓時結束研磨該晶圓。通常預定輪廓會對應至位於晶圓上的上層導電層達到一預定厚度的一條件。
雖然本發明已以實施例揭露如上,然其並非用以限定本發明,任何熟習此技藝者,在不脫離本發明之精神和範圍內,當可作些許之更動與潤飾,因此本發明之保護範圍當視後附之申請專利範圍所界定為準。
100‧‧‧化學機械研磨系統
102、400‧‧‧化學機械研磨站
104‧‧‧研磨頭
106、106a‧‧‧晶圓
108‧‧‧溫度控制元件
110a~110c‧‧‧待研磨晶圓表面
112a~112c‧‧‧溫度控制元件
114、424‧‧‧晶圓表面平坦度感測器
116‧‧‧回饋路徑
117‧‧‧控制器
118‧‧‧記憶體
120‧‧‧例行操作
122‧‧‧即時表面輪廓分析模組
124‧‧‧多重區域溫度控制模組
400‧‧‧化學機械研磨站
402‧‧‧平臺
404‧‧‧研磨墊;
406‧‧‧晶圓載座
408‧‧‧晶圓
408a‧‧‧晶圓背側
408b‧‧‧晶圓前側
410‧‧‧定位環
414a~414c‧‧‧可變壓力元件
416a~416c‧‧‧可變溫度元件
418‧‧‧平臺軸
422‧‧‧軸線
420‧‧‧研磨液
426‧‧‧路徑
602、604、606、608‧‧‧輪廓
700‧‧‧方法
702、704、706、708、710‧‧‧步驟
第1圖為本發明實施例之一化學機械研磨系統的方塊圖。
第2圖為一半導體晶圓的上視圖,其包括複數個同中心的待研磨晶圓表面。
第3圖為第2圖的具有複數個溫度控制元件的半導體晶圓的上視圖,上述溫度控制元件係配置接近上述半導體晶圓。
第4圖為本發明另一實施例之一化學機械研磨系統的方塊圖。
第5圖為本發明實施例之利用第4圖的化學機械研磨系統研磨的一晶圓的剖面圖。
第6圖顯示如何及時研磨一晶圓。
第7圖為本發明實施例之一平坦化方法的流程圖。
700‧‧‧方法
702、704、706、708、710‧‧‧步驟

Claims (10)

  1. 一種化學機械研磨系統,包括:一研磨頭,具有一旋轉軸,該研磨頭包括:一晶圓載座,用以承載一晶圓,該晶圓包括複數個待研磨晶圓表面區;複數個同中心溫度控制元件,分別接近該些待研磨晶圓表面區,其中該些同中心溫度控制元件分別以該旋轉軸為同中心設置;一表面平坦度分析器,於研磨期間量測該些待研磨晶圓表面區的相對高度;以及一回饋路徑,將該表面平坦度分析器耦合至該些同中心溫度控制元件,該回饋路徑基於該表面平坦度分析器量測相應的該些待研磨晶圓表面區的相對高度來調整各別該些同中心溫度控制元件提供的各別溫度,其中當其中一個該些待研磨晶圓表面區的高度大於相鄰的該些待研磨晶圓表面區的的高度時,會增加其中一個該些同中心溫度控制元件的溫度。
  2. 如申請專利範圍第1項所述之化學機械研磨系統,其中當其中一個該些待研磨晶圓表面區的高度小於相鄰的該些待研磨晶圓表面區的的高度時,會降低其中一個該些同中心溫度控制元件的溫度。
  3. 如申請專利範圍第1項所述之化學機械研磨系統,更包括:多重可變壓力元件,接近該晶圓的一背側,且該些多重可變壓力元件係配置以各別對該晶圓的該背側提供獨立 的壓力。
  4. 如申請專利範圍第3項所述之化學機械研磨系統,其中該些多重可變壓力元件係彼此同中心的配置,且配置沿著垂直於該些待研磨晶圓表面區的一軸線軸向旋轉。
  5. 如申請專利範圍第3項所述之化學機械研磨系統,其中該些多重可變壓力元件包括具有獨立控制流體壓力的同中心囊狀物。
  6. 如申請專利範圍第1項所述之化學機械研磨系統,其中該些同中心溫度控制元件包括具有獨立控制溫度的同中心囊狀物或電阻加熱元件,該些電阻加熱元件係分別藉由相應電流或電壓控制。
  7. 如申請專利範圍第1項所述之化學機械研磨系統,其中該表面平坦度分析器包括一感應感測器,藉由當該感應感測器位於其中一個該些待研磨晶圓表面區上方時,量測該晶圓中感應的一相應渦電流的方式量測其中一個該些待研磨晶圓表面區的一高度。
  8. 一種化學機械研磨方法,包括下列步驟:將一晶圓裝載於一化學機械研磨站上,該晶圓包括複數個同中心的待研磨晶圓表面,其中該晶圓維持於一研磨頭中,該研磨頭具有複數個同中心溫度控制元件,分別以該研磨頭的一旋轉軸為同中心設置;於該化學機械研磨站的一研磨墊和該些待研磨晶圓表面之間提供具研磨作用的一研磨液;當該晶圓和該研磨墊相對彼此移動時,藉由利用該研磨墊和該研磨液對該些待研磨晶圓表面施加一壓力的方式 來研磨該晶圓;當該晶圓和該研磨墊相對彼此移動時且當施加該壓力時,量測該些待研磨晶圓表面的相對高度;以及基於量測的該些相對高度來各別調整與該些待研磨晶圓表面相關的溫度,其中當其中一個該些待研磨晶圓表面的高度大於相鄰的該些待研磨晶圓表面的高度時,會增加其中一個該些待研磨晶圓表面的溫度。
  9. 如申請專利範圍第8項所述之化學機械研磨方法,更包括:當達到對至少一該些待研磨晶圓表面的一預定高度時,結束研磨該晶圓。
  10. 如申請專利範圍第8項所述之化學機械研磨方法,更包括:當其中一個該些待研磨晶圓表面的高度小於相鄰的該些待研磨晶圓表面的高度時,會降低其中一個該些待研磨晶圓表面的溫度。
TW101122932A 2012-02-14 2012-06-27 化學機械研磨系統及方法 TWI523731B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US13/372,872 US20130210173A1 (en) 2012-02-14 2012-02-14 Multiple Zone Temperature Control for CMP

Publications (2)

Publication Number Publication Date
TW201332714A TW201332714A (zh) 2013-08-16
TWI523731B true TWI523731B (zh) 2016-03-01

Family

ID=48945899

Family Applications (1)

Application Number Title Priority Date Filing Date
TW101122932A TWI523731B (zh) 2012-02-14 2012-06-27 化學機械研磨系統及方法

Country Status (3)

Country Link
US (1) US20130210173A1 (zh)
KR (1) KR20130093456A (zh)
TW (1) TWI523731B (zh)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10065288B2 (en) 2012-02-14 2018-09-04 Taiwan Semiconductor Manufacturing Co., Ltd. Chemical mechanical polishing (CMP) platform for local profile control
JP6161999B2 (ja) * 2013-08-27 2017-07-12 株式会社荏原製作所 研磨方法および研磨装置
US9636797B2 (en) * 2014-02-12 2017-05-02 Applied Materials, Inc. Adjusting eddy current measurements
US9855637B2 (en) * 2014-04-10 2018-01-02 Apple Inc. Thermographic characterization for surface finishing process development
US9878421B2 (en) * 2014-06-16 2018-01-30 Applied Materials, Inc. Chemical mechanical polishing retaining ring with integrated sensor
CN106466805B (zh) * 2015-08-19 2020-01-14 台湾积体电路制造股份有限公司 用于局部轮廓控制的化学机械抛光(cmp)平台
JP6635088B2 (ja) * 2017-04-24 2020-01-22 信越半導体株式会社 シリコンウエーハの研磨方法
JP7046358B2 (ja) * 2018-04-17 2022-04-04 スピードファム株式会社 研磨装置
TW202402454A (zh) * 2018-06-27 2024-01-16 美商應用材料股份有限公司 化學機械研磨設備及化學機械研磨方法
CN113732940A (zh) * 2021-09-29 2021-12-03 上海华力集成电路制造有限公司 晶圆恒温研磨系统、晶圆恒温控制方法及可读存储介质

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3311116B2 (ja) * 1993-10-28 2002-08-05 株式会社東芝 半導体製造装置
US5873769A (en) * 1997-05-30 1999-02-23 Industrial Technology Research Institute Temperature compensated chemical mechanical polishing to achieve uniform removal rates
JP3027551B2 (ja) * 1997-07-03 2000-04-04 キヤノン株式会社 基板保持装置ならびに該基板保持装置を用いた研磨方法および研磨装置
US6020262A (en) * 1998-03-06 2000-02-01 Siemens Aktiengesellschaft Methods and apparatus for chemical mechanical planarization (CMP) of a semiconductor wafer
US6077783A (en) * 1998-06-30 2000-06-20 Lsi Logic Corporation Method and apparatus for detecting a polishing endpoint based upon heat conducted through a semiconductor wafer
US6352466B1 (en) * 1998-08-31 2002-03-05 Micron Technology, Inc. Method and apparatus for wireless transfer of chemical-mechanical planarization measurements
US6150271A (en) * 1998-09-10 2000-11-21 Lucent Technologies Inc. Differential temperature control in chemical mechanical polishing processes
US6077151A (en) * 1999-05-17 2000-06-20 Vlsi Technology, Inc. Temperature control carrier head for chemical mechanical polishing process
US6227939B1 (en) * 2000-01-25 2001-05-08 Agilent Technologies, Inc. Temperature controlled chemical mechanical polishing method and apparatus
DE60128768T2 (de) * 2000-01-31 2007-10-11 Shin-Etsu Handotai Co., Ltd. Polierverfahren und vorrichtung
US6923711B2 (en) * 2000-10-17 2005-08-02 Speedfam-Ipec Corporation Multizone carrier with process monitoring system for chemical-mechanical planarization tool
JP4502168B2 (ja) * 2001-07-06 2010-07-14 ルネサスエレクトロニクス株式会社 化学機械研磨装置および化学機械研磨方法
TW541224B (en) * 2001-12-14 2003-07-11 Promos Technologies Inc Chemical mechanical polishing (CMP) apparatus with temperature control
US6736720B2 (en) * 2001-12-26 2004-05-18 Lam Research Corporation Apparatus and methods for controlling wafer temperature in chemical mechanical polishing
US7175503B2 (en) * 2002-02-04 2007-02-13 Kla-Tencor Technologies Corp. Methods and systems for determining a characteristic of polishing within a zone on a specimen from combined output signals of an eddy current device
JP2004042217A (ja) * 2002-07-12 2004-02-12 Ebara Corp 研磨方法、研磨装置および研磨工具の製造方法
KR100506934B1 (ko) * 2003-01-10 2005-08-05 삼성전자주식회사 연마장치 및 이를 사용하는 연마방법
KR100916829B1 (ko) * 2003-02-10 2009-09-14 가부시키가이샤 에바라 세이사꾸쇼 탄성 막
KR100506942B1 (ko) * 2003-09-03 2005-08-05 삼성전자주식회사 화학적 기계적 연마장치
US7153188B1 (en) * 2005-10-07 2006-12-26 Applied Materials, Inc. Temperature control in a chemical mechanical polishing system
US7335088B1 (en) * 2007-01-16 2008-02-26 Taiwan Semiconductor Manufacturing Company, Ltd. CMP system with temperature-controlled polishing head
DE102007041209B4 (de) * 2007-08-31 2017-11-23 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Polierkopf, der Zonenkontrolle verwendet
DE102007063232B4 (de) * 2007-12-31 2023-06-22 Advanced Micro Devices, Inc. Verfahren zum Polieren eines Substrats
JP2010183037A (ja) * 2009-02-09 2010-08-19 Toshiba Corp 半導体製造装置
JP5340795B2 (ja) * 2009-04-27 2013-11-13 株式会社荏原製作所 研磨方法及び研磨装置
US8460067B2 (en) * 2009-05-14 2013-06-11 Applied Materials, Inc. Polishing head zone boundary smoothing
TWI421148B (zh) * 2009-06-02 2014-01-01 Cpumate Inc 具研磨受熱平面之散熱器及其研磨方法與設備
US20110124269A1 (en) * 2009-07-16 2011-05-26 Mitsuo Tada Eddy current sensor and polishing method and apparatus
JP5547472B2 (ja) * 2009-12-28 2014-07-16 株式会社荏原製作所 基板研磨装置、基板研磨方法、及び基板研磨装置の研磨パッド面温調装置
TW201201957A (en) * 2010-01-29 2012-01-16 Applied Materials Inc High sensitivity real time profile control eddy current monitoring system

Also Published As

Publication number Publication date
US20130210173A1 (en) 2013-08-15
KR20130093456A (ko) 2013-08-22
TW201332714A (zh) 2013-08-16

Similar Documents

Publication Publication Date Title
TWI523731B (zh) 化學機械研磨系統及方法
TWI386989B (zh) 研磨裝置及研磨方法
TWI511839B (zh) 用於經改良的研磨墊外形之閉迴路控制
JP4757580B2 (ja) 研磨方法及び研磨装置、並びに研磨装置制御用プログラム
TWI573659B (zh) 研磨墊之表面粗糙度測定方法、cmp方法、研磨墊之表面粗糙度測定裝置
TWI522204B (zh) 化學機械研磨系統及方法
TWI568535B (zh) Substrate holding device and grinding device
CN102814738A (zh) 用于护理研磨垫的方法和设备
US10821572B2 (en) Method of controlling a temperature of a chemical mechanical polishing process, temperature control, and CMP apparatus including the temperature control
KR100870630B1 (ko) 마이크로피처 공작물의 기계적 및/또는 화학-기계적 연마를위한 시스템 및 방법
US7008875B2 (en) Methods and apparatus for polishing control
US9418904B2 (en) Localized CMP to improve wafer planarization
CN112936089A (zh) 研磨机构、研磨头、研磨装置及研磨方法
US20220362903A1 (en) Multiple polishing heads with cross-zone pressure element distributions for cmp
JP4849311B2 (ja) 研磨方法、この研磨方法を用いた半導体デバイスの製造方法
US9373524B2 (en) Die level chemical mechanical polishing
JP2001237206A (ja) 平坦化加工方法
JP5236561B2 (ja) 研磨装置及び研磨方法
JP2000343416A (ja) ポリッシング装置および方法
TW201705259A (zh) 基板處理裝置、基板處理系統、及基板處理方法
TW202013488A (zh) 在晶圓上執行化學機械研磨之方法以及系統
CN105328562A (zh) 一种化学机械研磨方法
US20230381914A1 (en) Apparatus and method for manufacturing semiconductor structure
US11267099B2 (en) Chemical mechanical planarization membrane
TWI467645B (zh) 化學機械研磨方法與系統