TWI509735B - 半導體裝置及其製造方法 - Google Patents

半導體裝置及其製造方法 Download PDF

Info

Publication number
TWI509735B
TWI509735B TW100139542A TW100139542A TWI509735B TW I509735 B TWI509735 B TW I509735B TW 100139542 A TW100139542 A TW 100139542A TW 100139542 A TW100139542 A TW 100139542A TW I509735 B TWI509735 B TW I509735B
Authority
TW
Taiwan
Prior art keywords
layer
openings
dielectric
conductive
forming
Prior art date
Application number
TW100139542A
Other languages
English (en)
Other versions
TW201301436A (zh
Inventor
Chun Chieh Lin
Hung Wen Su
Minghsing Tsai
Syun Ming Jang
Original Assignee
Taiwan Semiconductor Mfg Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Mfg Co Ltd filed Critical Taiwan Semiconductor Mfg Co Ltd
Publication of TW201301436A publication Critical patent/TW201301436A/zh
Application granted granted Critical
Publication of TWI509735B publication Critical patent/TWI509735B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/7681Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving one or more buried masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/101Forming openings in dielectrics
    • H01L2221/1015Forming openings in dielectrics for dual damascene structures
    • H01L2221/1031Dual damascene by forming vias in the via-level dielectric prior to deposition of the trench-level dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Geometry (AREA)
  • Ceramic Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

半導體裝置及其製造方法
本發明係關於半導體製作,且特別是關於一種半導體裝置及其製造方法。
半導體積體電路工業已經歷了快速成長。積體電路材料及設計之技術演進已造就了數個世代之積體電路,且每一世代較前一世代具有更小與更為複雜的電路。然而,此些演進增加了積體電路之處理與製作的複雜度,且為了實現此些演進,需要針對積體電路的處理與製作進行發展。於積體電路評估之項目中,當幾何尺寸(geometry size,即使用製造程序所能創造出之最小元件或線路)逐漸縮小時,其功能密度(functional density,即每一晶片區之內連元件的數量)已逐漸增加。
目前已發展出了雙鑲嵌程序(dual damascene process)以形成大量的內連元件,例如介層物(vias)與金屬導線(metal lines)。雙鑲嵌製程牽涉了凹口或開口的形成。傳統雙鑲嵌程序係使用一晶種層加上一銅電鍍程序以填滿凹口。然而,隨著半導體元件尺寸持續微縮,上述方法可能遭遇問題。舉例來說,於20奈米製程中,上述凹口通常為窄的,因此可能無法藉由傳統雙鑲嵌程序將之填滿。可能於凹口的頂部產生阻擋情形,因而可能產生了位於下方的孔洞(voids)。如此,便劣化了半導體裝置之表現。
因此,雖然現今雙鑲嵌程序可大體地滿足相關目標,但其並非可完全滿足每一方面的目標。
依據一實施例,本發明提供了一種半導體裝置之製造方法,包括:形成一圖案化介電層,該圖案化介電層具有複數個第一開口;形成一導電襯層於該圖案化介電層之上,該導電襯層部分填入於該些第一開口之內;形成一圖案化溝槽罩幕層於該些第一開口以外之部分該導電襯層之上,進而形成複數個第二開口,其中至少該些第二開口之一係設置於該些第一開口之上;沈積一導電材料於該些第一開口之內以形成複數個介層物,及於該些第二開口之內以及形成複數個金屬導線;以及移除該溝槽罩幕層。
依據另一實施例,本發明提供了一種半導體裝置之製造方法,包括:形成一內連層於一基板之上,該內連層包括複數個第一金屬導線元件;形成一蝕刻停止層於該內連層之上;形成一第一低介電常數介電層於該內連層之上,該第一低介電常數介電層包括複數個第一開口;形成一導電阻障層於該第一低介電常數介電層之上;形成一導電襯層於該導電阻障層之上,該導電阻障層與該導電襯層部分填入於該些第一開口之內,其中形成該導電襯層係由下述方法之一所完成:一化學氣相沈積程序以及一原子層沈積程序;形成一溝槽罩幕層於該導電襯層之上以及該些第一開口之上,該溝槽罩幕層包括了複數個第二開口,其中至少該些第二開口之一係對準於其下方之該些第一開口;形成複數個介層物於該些第一開口之內以及形成複數個第二金屬導線元件於該些第二開口之內;接著移除該溝槽罩幕層;接著形成一介電阻障層,以取代經移除之該溝槽罩幕層,該介電阻障層包括複數個第三開口;以及於該些第三開口內填入一第二低介電常數介電層。
依據又一實施例,本發明提供了一種半導體裝置之製造方法,包括:一膜層,包括交錯設置之複數個導電阻障部以及複數個蝕刻停止部;複數個第一介電部,分別設置於該些蝕刻停止部之上;複數個導電襯層部,分別設置於該些導電阻障部之上,其中部分之該些導電襯層部係設置於該些第一介電部的側壁之上;複數個介電阻障部,分別位於該些第一介電部之上,其中該介電阻障部包括複數個開口;複數個第二介電部,分別填入該些開口;複數個介層物,分別設置於該些導電襯層部之上;以及複數個金屬導線元件,其中該些金屬導線元件之一第一子部分別設置於該些介層物之一之上,而該些金屬導線元件之一第二子部分別設置於該些第一介電部之一之上。
為讓本發明之上述目的、特徵及優點能更明顯易懂,下文特舉一較佳實施例,並配合所附的圖式,作詳細說明如下:
可以理解的是,於下文中提供了用於施行本發明之不同特徵之多個不同實施例,或範例。基於簡化本發明之目的,以下描述了構件與設置情形之特定範例。然而,此些構件與設置情形僅作為範例之用而非用於限制本發明。舉例來說,於描述中關於於一第二元件之上或上之第一元件的形成可包括了第一元件與第二元件係為直接接觸之實施情形,且亦包括了於第一元件與第二元件之間包括了額外元件之實施情形,因而使得第一元件與第二元件之間並未直接接觸。此外,本發明於不同實施例中可能重複使用標號及/或文字。如此之重複情形係基於簡化與清楚之目的,而非用於限定不同實施例及/或討論形態內的相對關係。
第1圖為一流程圖,繪示了可改善雙鑲嵌製程之溝填表現(gap filling performance)之一方法10。第2-10圖為一系列剖面圖,繪示了一實施例之一半導體裝置於不同製造階段中的情形。此半導體裝置可為一積體電路晶片(IC chip)、一晶片系統(system on chip,SOC)或為其一部,其可包括之如電阻、電容、電感、二極體、金氧半導體場效電晶體(MOSFET)、互補型金氧半導體(CMOS)電晶體、雙極性接面電晶體(BJT)、橫向擴散型電晶體(LDMOS)、高功率金氧半導體(high power MOS)電晶體或其他類型電晶體之多種被動或主動微電子元件。可以理解的是,基於較佳地瞭解本發明之發明概念,第2-10圖係經過簡化。因此,可以理解的是於第1圖所示方法10之前、之中或之後可施行額外之製程,且於此處僅簡單描述了部份之其他製程。
請參照第1圖,方法10起使於步驟15,於步驟15中形成具有複數個第一開口之一圖案化介電層。方法10接著進行步驟20,於圖案化介電層之上形成一導電襯層。導電襯層部份填入於此些第一開口之內。方法10接著進行步驟25,於第一開口以外之導電襯層之數個部份之上形成一溝槽罩幕層,進而形成了數個第二開口,此些第二開口之一係形成於此些第一開口之上。方法10接著進行步驟30,沈積一導電材料於此些第一開口內以形成數個介層物(vias)及於此些第二開口內以形成數個金屬導線(metal lines)。方法10繼續步驟35,以移除溝槽罩幕層。可以理解的是,可於步驟15-35之前、之中或之後實施額外製程,以完成半導體裝置的製作,然而基於簡化之目的並未在此繪示此些製程。
第2-10圖為一半導體裝置50之一部於製作中之不同階段的片段剖面示意圖。請參照第2圖,半導體裝置50包括一基板60。基板60可為半導體晶圓之一部。舉例來說,基板60可包括矽。基板60亦可包括如鑽石或鍺之其他適當之元素態半導體;如碳化矽、砷化銦、磷化銦之適當之化合物半導體;或如矽鍺碳(silicon germanium carbide)、鎵砷磷(gallium arsenic phosphide)或鎵銦磷(gallium indium phosphide)之一適當合金半導體。於一實施例中,基板60包括了用於如互補型金氧半導體場效電晶體(CMOSFET)、影像感測器、記憶胞及/或電容元件等不同微電子元件之不同摻雜情形。
於基板60之上形成有一層間介電層(ILD)70。層間介電層70包括了如未摻雜矽玻璃(USG)或磷矽玻璃(PSG)之一介電材料。
於層間介電層70之上形成有一內連層(interconnect layer)80。內連層80亦可稱為一金屬層。於一實施例中,內連層80為第一金屬(metal-1,M1)層。內連層80包括了一介電層90。於一實施例中,介電層90包括了低介電常數介電材料,例如由下述元素所形成之一化合物:矽、氧、碳及氫。舉例來說,上述化合物可為氧化矽或碳化矽。於一實施例中,介電層90具有少於約1000埃之厚度。
於圖示之實施例中,介電層90包括了數個部分。介電層90之每一部分係至少為一介電阻障層100所部份地環繞或纏繞。於一實施例中,介電阻障層100包括了由下述元素所組成之一化合物:矽、氧、碳、氮與硼。舉例來說,上述化合物可為氮化矽或碳化矽。於一實施例中,介電阻障層100具有少於約50埃之厚度。介電阻障層100係作為降低金屬擴散之用。
內連層80亦包括了一導電阻障層110。導電阻障層110包括了導電材料。於一實施例中,導電材料係擇自由鉭、氮化鉭(TaNx)、鈦、氮化鈦(TiNx)、氧化錳及其組合所組成族群之一材料。於一實施例中,導電阻障層110具有少於約50埃之厚度。於圖示實施例中,導電阻障層110包括了複數個部分。導電阻障層110之每一部分係設置於層間介電層70之上且位於介電層90之數個部分之間。
內連層80亦包括了一導電襯層120。導電襯層120包括了導電材料。於一實施例中,導電材料為一金屬,例如為釕(Ru)、鈷(Co)、鎢(W)或其組合。於一實施例中,導電襯層120具有少於約50埃之厚度。於圖示實施例中,導電襯層120包括了數個部分。導電襯層120之每一部分係設置於導電阻障層110之上且位於該介電層90之數個部分之間。
內連層80亦包括一導電層130。導電層130包括一導電材料。於一實施中,導電材料為銅。於一實施例中,導電材料130具有少於900埃之厚度。於圖示之實施例中,導電層130包括數個部分。導電層130之每一部分係設置於導電襯層120之對應部分之上,並位於介電層90之數個部分之間。導電層130之數個部分係做為內連層80內之金屬導線之用。
內連層80具有一線間距(line pitch)135。於一實施例中,上述線間距135少於約60奈米。
於內連層80之上形成有一蝕刻停止層140。蝕刻停止層包括一介電材料。於一實施例中,蝕刻停止層140包括由下述元素所組成之一化合物:矽、氧、碳、氮與硼。舉例來說,上述化合物可為氮化矽、碳化矽或氧化矽。蝕刻停止層140係經過選擇,以使得其與介電層90之間具有足夠之蝕刻選擇率。換句話說,蝕刻停止層140與介電層90包括了不同之材料組成。於一實施例中,蝕刻停止層140具有少於約300埃之厚度。
於蝕刻停止層140之上形成有一介電層150。於一實施例中,介電層150包括一低介電常數介電材料,例如由下述元素所組成之一化合物:矽、氧、碳與氫。舉例來說,上述化合物可為氧化矽或碳化矽。於一實施例中,介電層150具有少於約1000埃之一厚度。
請參照第3圖,於半導體裝置50之上施行一圖案化程序200,以於介電層150之內形成數個開口。圖案化程序200可包括採用如微影、浸潤式微影、離子束直寫或其他適當程序之一程序以形成一圖案化阻劑層(未顯示)。舉例來說,上述微影程序可包括旋轉塗佈、軟烤、曝光、硬烤、顯影、清洗、乾燥及其他適當程序。上述圖案化程序亦可包括一蝕刻程序,此蝕刻程序採用了圖案化之阻劑層做為罩幕而於介電層140與150之內蝕刻形成了數個開口。
基於簡化之目的,在此僅繪示了開口210、212、214、215與216,雖然可以理解的是亦可形成許多之其他開口。開口210、212、214、215與216分別大體(垂直地)對準於其下方導電層130內之數個部分之一。於一實施例中,開口210、212、214、215與216可略寬於導電層130之部分。於一實施例中,開口210、212、214、215與216的最小寬度約為32奈米,或為線間距135的二分之一。
在此,可將介電層150視為僅包括介層物之結構。換句話說,開口210、212、214、215與216可於後續程序中用於形成介層物之用,但非用於形成金屬導線之用。如此之結構不同於習知雙鑲嵌製程,於習知雙鑲嵌製程中係形成用於形成介層物及位於介層物上之金屬導線之數個連續溝槽。
請參照第4圖,施行一沈積程序230以於介電層150之上形成一導電阻障層240並部份地將填入開口210、212、214、215與216之內。導電阻障層240亦形成於位於開口210、212、214、215與216內之介電層150的側壁之上。位於此些側壁之上的導電阻障層240部分為薄的,故基於簡化之目的而並未在此特別地將之繪示出。於一實施例中,沈積程序230包括一化學氣相沈積程序。於另一實施例中,沈積程序230包括了原子層沈積程序。導電阻障層240包括了導電材料。於一實施例中,導電材料係擇自由鉭、氮化鉭(TaNx)、鈦、氮化鈦(TiNx)、氧化錳及其組合所組成族群之一材料。於一實施例中,導電阻障層240具有少於約50埃之厚度。
請參照第5圖,施行一沈積程序250以形成導電襯層260於導電阻障層240之上並部份填入於開口210、212、214、215與216內。於一實施例中,沈積程序250包括了一化學氣相沈積程序。於另一實施例中,沈積程序250包括一原子層沈積程序。導電襯層260包括一導電材料。於一實施例中,導電材料為一金屬,其可為一非銅金屬。於一實施例中,導電襯層260具有少於50埃之厚度。相較於用於電鍍程序之習知晶種層,導電襯層260具有較低之導電率。基於上述之較低導電率,故其可使用一無電電鍍程序,上述無電電鍍程序將於下文中進一步討論。
請參照第6圖,於半導體裝置50之上施行一圖案化程序280以形成一溝槽罩幕層290。於一實施例中,溝槽罩幕層290包括了阻劑材料,而此阻劑材料係採用相似於如第3圖所討論之一微影程序而經過圖案化。於另一實施例中,溝槽罩幕層290包括了如氧化矽之一介電材料,其可藉由一圖案化阻劑層而圖案化。溝槽罩幕層290的形成實際上形成了額外之數個開口210A、211A、212A、213A、214A、215A與216A。開口210A、211A、212A、213A、214A、215A與216A之一係分別設置開口210、212、214、215與216之一之上並與之對準。或者開口210A、211A、212A、213A、214A、215A與216A之一可視為開口210、212、214、215與216的延伸。開口210A、211A、212A、213A、214A、215A與216A可稱之為溝槽。於圖示之實施例中,開口210A、212A與216A係寬於開口211A、213-215A。對於後續程序中形成之金屬導線元件而言,開口210A、211A、212A、213A、214A、215A與216A為反相的,而對於後續程序中形成介層物而言,開口210、212、214、215與216為反相的。
請參照第7圖,於半導體裝置50之上施行雙鑲嵌沈積程序300。此雙鑲嵌沈積程序300沈積了一導電材料。於一實施例中,上述導電材料為銅。於一實施例中,雙鑲嵌沈積程序300包括了一無電沈積程序。於另一實施例中,雙鑲嵌沈積程序300包括了一電鍍程序。於雙鑲嵌沈積程序300之後,施行一化學機械研磨程序。於雙鑲嵌沈積程序與後續之化學機械研磨程序之後,便於開口210、212、214、215與216內形成數個介層物310、312、314、315與316,以及於開口210A、211A、212A、213A、214A、215A與216A內形成數個金屬導線320、321、322、323、324、325與326。介層物310、312、314、315與316係形成於導電襯層260之上。金屬導線320、321、322、323、324、325與326係形成於介層物310、312、314、315與316之上,於一實施例中,介層物314及形成於其上之金屬導線324可視為單一介層物。相同情形已見於介層物315與其上之金屬導線325。金屬導線320、321、322、323、324、325與326以及溝槽罩幕層290係按照叉合(interdigitated)或插入(interleaving)之方式而設置。
於雙鑲嵌程序內之形成介層物之習知方法包括了使用一物理氣相沈積以形成一晶種層。由物理氣相沈積所形成之上述晶種層可能具有不良之順應性(conformity),意味著其某些部份較薄而其他部份可能大體較厚。當晶種層於其側壁部份過薄時(如少於約50埃時),其便可能無法適當地顯現出其晶種之功能。另一方面,當於側壁處之晶種層過厚時(例如大於約50埃時),其頂角處之厚度可能太厚,進而造成了突出(overhang)問題,此突出問題會阻擋了溝槽開口210、212、214、215與216(請參照第5圖)。此突出情形亦會阻礙後續之介層物沈積並導致孔洞(voids)的產生。
相較之下,於本處揭示之導電襯層260可採用無電沈積程序以形成介層物310、312、314、315與316。其亦稱為直接鍍程序(direct plating process)。不同於習知形成介層物之方法,於本程序中不需要晶種層。在此形成導電襯層260之所使用的原子層沈積或化學氣相沈積可經過調整而具有良好的均勻度控制。因此,可於底部與側壁部之上形成順應且薄(少於50埃)之導電襯層260。如此降低了前述情形的出現及其可能性,進而允許了此些開口可為於後續雙鑲嵌沈積程序300中之介層物310、312、314、315與316所填入。此外,由於溝槽罩幕層290係於導電襯層260形成後形成,導電襯層260並沒有形成於溝槽罩幕層290之側壁上。如此可使得溝槽開口較習知方法為寬中,於習知方法中晶種層係形成於位於介層物上用於定義金屬導線形狀之介電層的側壁之上。基於上述討論理由,藉由本發明之方法可改善溝槽填入表現。
請參照第8圖,移除溝槽罩幕層290。接著,移除未為金屬導線320、321、322、323、324、325與326所覆蓋之導電阻障層240與導電襯層260之部份,並露出了介電層150之數個部份。
請參照第9圖,於露出的介電層150之數個部份以及金屬導線320、321、322、323、324、325與326之頂部與側壁之上形成一介電阻障層350。介電阻障層350包括一材料,其為擇自由下述元素:矽、氧、碳、氮與硼所組成族群之化合物。介電阻障層350於成型後而形成數個開口370、371、372、373、374、375、376與377。可以理解的是,介電阻障層350(及開口370-377)於形成後取代了經移除之溝槽罩幕層290(見於第7圖)。
請參照第10圖,於介電阻障層350上形成一介電層380並填入於開口370、371、372、373、374、375、376與377之內。於一實施例中,介電層380包括了低介電常數介電材料。接著於介電層380之上施行一化學機械研磨直至介電層380的表面大體與金屬導線320、321、322、323、324、325與326共平面。介電層380包括了至少為介電阻障層350所部份環繞或纏繞之數個部分。介電阻障層350係用於降低來自如金屬導線320、321、322、323、324、325與326之鄰近金屬結構之金屬擴散。此介電阻障層350於藉由習知雙鑲嵌製程所形成之金屬膜層中為非必要的。於此製造觀點中,金屬導線320、321、322、323、324、325與326以及填入於介電阻障層350內之開口370、371、372、373、374、375、376與377之介電層380的數個片段係依照叉合或插入方式而沈積形成。
前述之程序可製造出包括了金屬導線320、321、322、323、324、325與326以及介電層380之數個部分之一內連層(interconnect layer)400。上述內連層400可視為位於內連層80之上。如此,於一實施例中,當內連層80為第一金屬層(Metal-1)時,內連層400為一第二金屬層(Metal-2)。介層物310-316電性耦接了於內連層400內之金屬導線320、321、322、323、324、325與326及位於下方內連層80內之金屬導線130。於圖示之實施例中,介層物310、312、314、315與316可視為設置於第一介層物層(Via-1)之中。
雖然在此並未詳細討論內連層80之製程,可以理解的是用於形成內連層400之程序亦可用於形成內連層80。舉例來說,於一實施例中,導電阻障層110係形成於層間介電層70之上。導電襯層120係形成於導電阻障層110之上。溝槽罩幕層(相似於溝槽罩幕層290)係形成於導電襯層120之上。接著圖案化溝槽罩幕層以形成數個開口或溝槽。於一沈積程序中形成金屬導線130並使之填入於此些開口內,並接著施行一化學機械研磨程序。接著移除溝槽罩幕層,且可施行一或多個蝕刻程序以移除未為金屬導線所保護的導電襯層120與導電阻障層110之數個部分。接著,形成介電阻障層100。接著形成介電層90以環繞介電阻障層100。可以理解的是,亦可施行形成內連層80與內連層400之方法,以形成如為第三金屬層(metal-3)與第四金屬層(metal-4)之額外內連層與介層物層於內連層400之上。
上述之實施例提供了較習知雙鑲嵌程序為佳之優點。然而,可以理解的是於不同實施例中具有其他之優點,且所有實施例並不需具有特定優點。在此實施例之優點之一為藉由前述之”僅介層物”結構的形成可形成較寬之溝槽,並可利用了化學氣相沈積或原子層沈積以形成相對順應之導電襯層。因此便不需要較厚之晶種層。如此,大體便解決了溝槽突出(trench overhang)問題,並改善了溝槽填入表現。前述製程之另一優點為其相容於當今之半導體製造流程。如此,本發明實施例的實施並不會太昂貴。
本發明之一較廣實施形態提供了一種半導體裝置之製造方法,上述方法包括:形成一圖案化介電層,該圖案化介電層具有複數個第一開口;形成一導電襯層於該圖案化介電層之上,該導電襯層部分填入於該些第一開口之內;形成一圖案化溝槽罩幕層於該些第一開口以外之部分該導電襯層之上,進而形成複數個第二開口,其中至少該些第二開口之一係設置於該些第一開口之上;沈積一導電材料於該些第一開口之內以形成複數個介層物,及於該些第二開口之內以及形成複數個金屬導線;以及移除該溝槽罩幕層。
於一實施例中,上述方法更包括:於移除該溝槽罩幕層之後,移除未為該些金屬導線覆蓋之部分之該導電襯層;形成一介電層於部分之該圖案化介電層之上及於該些金屬導線之側壁之上,進而形成複數個第三開口;於該些第三開口內填入一低介電常數介電材料;以及對該低介電常數介電材料施行一化學機械研磨程序。
於一實施例中,該導電襯層係由下述方法之一所形成:一原子層沈積程序以及一化學氣相沈積程序。
於一實施例中,沈積該導電材料包括於一無電沈積程序或一電鍍程序中沈積銅以作為該導電材料。
於一實施例中,於形成該圖案化介電層之前,上述方法更包括:形成一內連層於一基板之上,該內連層包括複數個金屬導線;以及形成一蝕刻停止層於該內連層之上;其中形成該圖案化介電層包括:形成該圖案化介電層於該蝕刻停止層之上;以及該圖案化介電層包括一低介電常數介電材料。
於一實施例中,上述方法更包括:形成一導電阻障層於該圖案化介電層之上;以及其中該導電襯層係形成於該導電阻障層之上。
於一實施例中,該導電襯層包括一非銅之金屬材料;以及該導電阻障層包括擇自由鉭、氮化鉭、鈦、氮化鈦及氧化錳所組成族群之一材料。
於一實施例中,該導電阻障層與該導電襯層皆薄於約50埃。
本發明之另一較廣實施形態提供了一種半導體裝置之製造方法,上述方法包括:形成一內連層於一基板之上,該內連層包括複數個第一金屬導線元件;形成一蝕刻停止層於該內連層之上;形成一第一低介電常數介電層於該內連層之上,該第一低介電常數介電層包括複數個第一開口;形成一導電阻障層於該第一低介電常數介電層之上;形成一導電襯層於該導電阻障層之上,該導電阻障層與該導電襯層部分填入於該些第一開口之內,其中形成該導電襯層係由下述方法之一所完成:一化學氣相沈積程序以及一原子層沈積程序;形成一溝槽罩幕層於該導電襯層之上以及該些第一開口之上,該溝槽罩幕層包括了複數個第二開口,其中至少該些第二開口之一係對準於其下方之該些第一開口;形成複數個介層物於該些第一開口之內以及形成複數個第二金屬導線元件於該些第二開口之內;接著移除該溝槽罩幕層;接著形成一介電阻障層,以取代經移除之該溝槽罩幕層,該介電阻障層包括複數個第三開口;以及於該些第三開口內填入一第二低介電常數介電層。
於一實施例中,形成該溝槽罩幕層的施行使得至少部分之該第二開口係寬於設置於其下方之各第一開口。
於一實施例中,形成該些介層物以及該些第二金屬導線元件係藉由於一無電電鍍程序中沈積一鋼材料所完成。
於一實施例中,該導電襯層包括不含銅之一金屬材料;以及該導電阻障層包括擇自由鉭、氮化鉭、鈦、氮化鈦及氧化錳所組成族群之一材料。
於一實施例中,該導電阻障層與該導電襯層皆薄於約50埃。
本發明之又一較廣實施形態提供了一種半導體裝置。上述半導體裝置包括:一膜層,包括交錯設置之複數個導電阻障部以及複數個蝕刻停止部;複數個第一介電部,分別設置於該些蝕刻停止部之上;複數個導電襯層部,分別設置於該些導電阻障部之上,其中部分之該些導電襯層部係設置於該些第一介電部的側壁之上;複數個介電阻障部,分別位於該些第一介電部之上,其中該介電阻障部包括複數個開口;複數個第二介電部,分別填入該些開口;複數個介層物,分別設置於該些導電襯層部之上;以及複數個金屬導線元件,其中該些金屬導線元件之一第一子部分別設置於該些介層物之一之上,而該些金屬導線元件之一第二子部分別設置於該些第一介電部之一之上。
於一實施例中,該些金屬導線元件與該些第二介電部係叉合地設置。
於一實施例中,該導電襯層部包括一非銅之金屬材料;以及該導電阻障部包括擇自由鉭、氮化鉭、鈦、氮化鈦及氧化錳所組成族群之一材料。
於一實施例中,每一導電阻障部與每一導電襯層部皆不厚於50埃。
於一實施例中,上述半導體裝置更包括:一基板;一層間介電層,設置於該基板之上;以及一內連層,設置該層間介電層之上,該內連層包括複數個其他之金屬導線元件;其中包括該些導電阻障部之該膜層係設置於該內連層之上。
於一實施例中,該內連層更包括:複數個其他之導電阻障部,設置於該層間介電層之上;複數個其他之導電襯層部,分別設置於該些導電阻障部之上;複數個其他之介電阻障部,設置於該層間介電層之上,該些其他之介電阻障部包括複數個其他之開口;以及複數個第三介電部,分別填入於該些其他之開口內;其中該些其他之金屬導線元件係分別設置於該些其他導電襯層片段之上;以及該些其他金屬導線元件係與該些第三介電片段交錯地設置。
於一實施例中,該些第一、第二與第三介電部皆包括一低介電常數介電材料;以及該些介電阻障部皆包括為化合物之一材料,該化合物係由擇自由包括矽、氧、碳、氮與硼所組成族群之複數個元素所形成。
雖然本發明已以較佳實施例揭露如上,然其並非用以限定本發明,任何熟習此項技藝者,在不脫離本發明之精神和範圍內,當可作更動與潤飾,因此本發明之保護範圍當視後附之申請專利範圍所界定者為準。
10...方法
15、20、25、30、35...步驟
50...半導體裝置
60...基板
70...層間介電層
80...內連層
90...內連層
100...介電阻障層
110...導電阻障層
120...導電襯層
130...導電層
135...線間距
140...蝕刻停止層
150...介電層
200...圖案化程序
210、212、214、215、216...開口
210A、211A、212A、213A、214A、215A、216A...開口
230...沈積程序
240...導電阻障層
250...沈積程序
260...導電襯層
280...圖案化程序
290...溝槽罩幕層
300...雙鑲嵌沈積程序
310、312、314、315、316...介層物
320、321、322、323、324、325、326...金屬導線
350...介電阻障層
370、371、372、373、374、375、376、377...
380...介電層
400...內連層
第1圖為一流程圖,顯示了依據本發明之多個實施例之半導體裝置之製造方法,以降低其特徵尺寸;以及
第2-10圖為一系列示意剖面圖,顯示了如第1圖所示之方法中不同階段內之半導體裝置。
10...方法
15、20、25、30、35...步驟

Claims (10)

  1. 一種半導體裝置之製造方法,包括:形成一圖案化介電層,該圖案化介電層具有複數個第一開口;形成一導電襯層於該圖案化介電層之上,該導電襯層部分填入於該些第一開口之內;形成一圖案化溝槽罩幕層於該些第一開口以外之部分該導電襯層之上,進而形成複數個第二開口,其中至少該些第二開口之一係設置於該些第一開口之上;沈積一導電材料於該些第一開口之內以形成複數個介層物,及於該些第二開口之內以及形成複數個金屬導線;以及移除該溝槽罩幕層。
  2. 如申請專利範圍第1項所述之半導體裝置之製造方法,更包括:於移除該溝槽罩幕層之後,移除未為該些金屬導線覆蓋之部分之該導電襯層;形成一介電阻障層於部分之該圖案化介電層之上及於該些金屬導線之側壁之上,進而形成複數個第三開口;於該些第三開口內填入一低介電常數介電材料;以及對該低介電常數介電材料施行一化學機械研磨程序。
  3. 如申請專利範圍第1項所述之半導體裝置之製造方法,於形成該圖案化介電層之前,更包括: 形成一內連層於一基板之上,該內連層包括複數個金屬導線;以及形成一蝕刻停止層於該內連層之上;其中形成該圖案化介電層包括:形成該圖案化介電層於該蝕刻停止層之上;以及該圖案化介電層包括一低介電常數介電材料。
  4. 如申請專利範圍第1項所述之半導體裝置之製造方法,於形成該導電襯層之前,更包括:形成一導電阻障層於該圖案化介電層之上;以及其中該導電襯層係形成於該導電阻障層之上。
  5. 一種半導體裝置之製造方法,包括:形成一內連層於一基板之上,該內連層包括複數個第一金屬導線元件;形成一蝕刻停止層於該內連層之上;形成一第一低介電常數介電層於該內連層之上,該第一低介電常數介電層包括複數個第一開口;形成一導電阻障層於該第一低介電常數介電層之上;形成一導電襯層於該導電阻障層之上,該導電阻障層與該導電襯層部分填入於該些第一開口之內,其中形成該導電襯層係由下述方法之一所完成:一化學氣相沈積程序以及一原子層沈積程序;形成一溝槽罩幕層於該導電襯層之上以及該些第一開口之上,該溝槽罩幕層包括了複數個第二開口,其中至少該些第二開口之一係對準於其下方之該些第一開口;形成複數個介層物於該些第一開口之內以及形成複數個第二金屬導線元件於該些第二開口之內;接著移除該溝槽罩幕層;接著形成一介電阻障層,以取代經移除之該溝槽罩幕層,該介電阻障層包括複數個第三開口;以及於該些第三開口內填入一第二低介電常數介電層。
  6. 如申請專利範圍第5項所述之半導體裝置之製造方法,其中形成該溝槽罩幕層的施行使得至少部分之該第二開口係寬於設置於其下方之各第一開口。
  7. 一種半導體裝置,包括:一膜層,包括交錯設置之複數個導電阻障部以及複數個蝕刻停止部;複數個第一介電部,分別設置於該些蝕刻停止部之上;複數個導電襯層部,分別設置於該些導電阻障部之上,其中部分之該些導電襯層部係設置於該些第一介電部的側壁之上;複數個介電阻障部,分別位於該些第一介電部之上,其中該介電阻障部包括複數個開口;複數個第二介電部,分別填入該些開口;複數個介層物,分別設置於該些導電襯層部之上;以及複數個金屬導線元件,其中該些金屬導線元件之一第一子部分別設置於該些介層物之一之上,而該些金屬導線元件之一第二子部分別設置於該些第一介電部之一之上。
  8. 如申請專利範圍第7項所述之半導體裝置,其中:該導電襯層部包括一非銅之金屬材料;以及該導電阻障部包括擇自由鉭、氮化鉭、鈦、氮化鈦及氧化錳所組成族群之一材料。
  9. 如申請專利範圍第7項所述之半導體裝置,其中每一導電阻障部與每一導電襯層部皆薄於50埃。
  10. 如申請專利範圍第7項所述之半導體裝置,更包括:一基板;一層間介電層,設置於該基板之上;以及一內連層,設置該層間介電層之上,該內連層包括複數個其他之金屬導線元件;其中包括該些導電阻障部之該膜層係設置於該內連層之上。
TW100139542A 2011-06-16 2011-10-31 半導體裝置及其製造方法 TWI509735B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US13/161,701 US9029260B2 (en) 2011-06-16 2011-06-16 Gap filling method for dual damascene process

Publications (2)

Publication Number Publication Date
TW201301436A TW201301436A (zh) 2013-01-01
TWI509735B true TWI509735B (zh) 2015-11-21

Family

ID=47335235

Family Applications (1)

Application Number Title Priority Date Filing Date
TW100139542A TWI509735B (zh) 2011-06-16 2011-10-31 半導體裝置及其製造方法

Country Status (4)

Country Link
US (3) US9029260B2 (zh)
KR (1) KR101314896B1 (zh)
CN (1) CN102832165B (zh)
TW (1) TWI509735B (zh)

Families Citing this family (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8518818B2 (en) 2011-09-16 2013-08-27 Taiwan Semiconductor Manufacturing Co., Ltd. Reverse damascene process
US8962473B2 (en) 2013-03-15 2015-02-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming hybrid diffusion barrier layer and semiconductor device thereof
US9142488B2 (en) * 2013-05-30 2015-09-22 International Business Machines Corporation Manganese oxide hard mask for etching dielectric materials
US10269701B2 (en) * 2015-10-02 2019-04-23 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure with ultra thick metal and manufacturing method thereof
US9799558B2 (en) 2015-11-16 2017-10-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming conductive structure in semiconductor structure
US10658296B2 (en) * 2016-09-30 2020-05-19 Taiwan Semiconductor Manufacturing Co., Ltd. Dielectric film for semiconductor fabrication
CN108122820B (zh) 2016-11-29 2020-06-02 中芯国际集成电路制造(上海)有限公司 互连结构及其制造方法
US10347579B2 (en) * 2017-01-19 2019-07-09 Qualcomm Incorporated Reducing tip-to-tip distance between end portions of metal lines formed in an interconnect layer of an integrated circuit (IC)
US10236206B2 (en) * 2017-07-03 2019-03-19 Globalfoundries Inc. Interconnects with hybrid metallization
US10978337B2 (en) 2018-09-18 2021-04-13 Taiwan Semiconductor Manufacturing Co., Ltd. Aluminum-containing layers and methods of forming the same
US10707211B2 (en) * 2018-09-24 2020-07-07 Micron Technology, Inc. Integrated circuitry comprising an array, method of forming an array, method of forming DRAM circuitry, and method used in the fabrication of integrated circuitry
US11335596B2 (en) 2018-10-30 2022-05-17 Taiwan Semiconductor Manufacturing Co., Ltd. Selective deposition for integrated circuit interconnect structures
US11139236B2 (en) 2019-08-22 2021-10-05 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices and methods of forming the same
US11114374B2 (en) 2019-08-22 2021-09-07 Taiwan Semiconductor Manufacturing Co., Ltd. Graphene enabled selective barrier layer formation
US11532547B2 (en) 2019-08-22 2022-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect structures with low-aspect-ratio contact vias
US11251118B2 (en) 2019-09-17 2022-02-15 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned via structures with barrier layers
US11276637B2 (en) 2019-09-17 2022-03-15 Taiwan Semiconductor Manufacturing Co., Ltd. Barrier-free interconnect structure and manufacturing method thereof
US11036911B2 (en) 2019-09-26 2021-06-15 Taiwan Semiconductor Manufacturing Co., Ltd. Charging prevention method and structure
US11127684B2 (en) 2019-10-18 2021-09-21 Taiwan Semiconductor Manufacturing Co., Ltd. Low-resistance interconnect structures
US11217481B2 (en) * 2019-11-08 2022-01-04 International Business Machines Corporation Fully aligned top vias
US11205592B2 (en) * 2020-01-16 2021-12-21 International Business Machines Corporation Self-aligned top via structure
US11355435B2 (en) * 2020-04-24 2022-06-07 Nanya Technology Corporation Semiconductor device with air gaps
US11264326B2 (en) 2020-05-29 2022-03-01 Taiwan Semiconductor Manufacturing Co., Ltd. Contact via formation
US11450609B2 (en) 2020-05-29 2022-09-20 Taiwan Semiconductor Manufacturing Co., Ltd. Electro-migration reduction
US11257926B2 (en) 2020-06-08 2022-02-22 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned contact structures
US11742210B2 (en) 2020-06-29 2023-08-29 Taiwan Semiconductor Manufacturing Co., Ltd. Deposition window enlargement
US11817491B2 (en) 2020-07-21 2023-11-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having an air gap along a gate spacer
US11387331B2 (en) 2020-07-22 2022-07-12 Taiwan Semiconductor Manufacturing Co., Ltd. Source/drain contact structure
US11652149B2 (en) 2020-08-13 2023-05-16 Taiwan Semiconductor Manufacturing Co., Ltd. Common rail contact
US11798846B2 (en) 2020-08-14 2023-10-24 Taiwan Semiconductor Manufacturing Co., Ltd. Contact plug
KR20220054999A (ko) 2020-10-26 2022-05-03 삼성전자주식회사 반도체 장치
US20220199516A1 (en) * 2020-12-21 2022-06-23 Intel Corporation Metal lines patterned by bottom-up fill metallization for advanced integrated circuit structure fabrication
US11658215B2 (en) 2021-02-19 2023-05-23 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming contact structures

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020149110A1 (en) * 1997-06-13 2002-10-17 Ahn Kie Y. Multilevel interconnect structure with low-k dielectric and method of fabricating the structure
TW200744160A (en) * 2006-05-22 2007-12-01 Taiwan Semiconductor Mfg Co Ltd Semiconductor device, embedded memory, and method of fabricating the same
TW200908228A (en) * 2007-08-07 2009-02-16 Nanya Technology Corp Methods for fabricating semiconductor devices

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6008540A (en) * 1997-05-28 1999-12-28 Texas Instruments Incorporated Integrated circuit dielectric and method
TW370724B (en) * 1998-03-12 1999-09-21 Taiwan Semiconductor Mfg Co Ltd Method for manufacturing dynamic random access memory and metal connects
US6153521A (en) * 1998-06-04 2000-11-28 Advanced Micro Devices, Inc. Metallized interconnection structure and method of making the same
US6265779B1 (en) * 1998-08-11 2001-07-24 International Business Machines Corporation Method and material for integration of fuorine-containing low-k dielectrics
US6495200B1 (en) * 1998-12-07 2002-12-17 Chartered Semiconductor Manufacturing Ltd. Method to deposit a seeding layer for electroless copper plating
US6331481B1 (en) * 1999-01-04 2001-12-18 International Business Machines Corporation Damascene etchback for low ε dielectric
US6184138B1 (en) * 1999-09-07 2001-02-06 Chartered Semiconductor Manufacturing Ltd. Method to create a controllable and reproducible dual copper damascene structure
JP2001319928A (ja) * 2000-05-08 2001-11-16 Hitachi Ltd 半導体集積回路装置およびその製造方法
US6603204B2 (en) 2001-02-28 2003-08-05 International Business Machines Corporation Low-k interconnect structure comprised of a multilayer of spin-on porous dielectrics
US6355563B1 (en) * 2001-03-05 2002-03-12 Chartered Semiconductor Manufacturing Ltd. Versatile copper-wiring layout design with low-k dielectric integration
US6878615B2 (en) * 2001-05-24 2005-04-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method to solve via poisoning for porous low-k dielectric
US6583043B2 (en) * 2001-07-27 2003-06-24 Motorola, Inc. Dielectric between metal structures and method therefor
CN1218393C (zh) * 2002-06-14 2005-09-07 台湾积体电路制造股份有限公司 具有局部狭缝的金属内连线构造及其制造方法
US6830971B2 (en) * 2002-11-02 2004-12-14 Chartered Semiconductor Manufacturing Ltd High K artificial lattices for capacitor applications to use in CU or AL BEOL
US6780756B1 (en) * 2003-02-28 2004-08-24 Texas Instruments Incorporated Etch back of interconnect dielectrics
US7101790B2 (en) * 2003-03-28 2006-09-05 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming a robust copper interconnect by dilute metal doping
US7244673B2 (en) * 2003-11-12 2007-07-17 Taiwan Semiconductor Manufacturing Company, Ltd. Integration film scheme for copper / low-k interconnect
CN100358140C (zh) * 2004-04-22 2007-12-26 台湾积体电路制造股份有限公司 半导体内连线结构与避免其覆盖层和介电层间脱层的方法
US7387961B2 (en) * 2005-01-31 2008-06-17 Taiwan Semiconductor Manufacturing Co., Ltd Dual damascene with via liner
US7629690B2 (en) * 2005-12-05 2009-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Dual damascene process without an etch stop layer
US7514354B2 (en) * 2005-12-30 2009-04-07 Samsung Electronics Co., Ltd Methods for forming damascene wiring structures having line and plug conductors formed from different materials
US20070202689A1 (en) * 2006-02-27 2007-08-30 Samsung Electronics Co., Ltd. Methods of forming copper vias with argon sputtering etching in dual damascene processes
KR100781422B1 (ko) * 2006-05-24 2007-12-03 동부일렉트로닉스 주식회사 듀얼 다마신 패턴 형성 방법
US7569475B2 (en) * 2006-11-15 2009-08-04 International Business Machines Corporation Interconnect structure having enhanced electromigration reliability and a method of fabricating same
US8288276B2 (en) * 2008-12-30 2012-10-16 International Business Machines Corporation Method of forming an interconnect structure including a metallic interfacial layer located at a bottom via portion

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020149110A1 (en) * 1997-06-13 2002-10-17 Ahn Kie Y. Multilevel interconnect structure with low-k dielectric and method of fabricating the structure
TW200744160A (en) * 2006-05-22 2007-12-01 Taiwan Semiconductor Mfg Co Ltd Semiconductor device, embedded memory, and method of fabricating the same
TW200908228A (en) * 2007-08-07 2009-02-16 Nanya Technology Corp Methods for fabricating semiconductor devices

Also Published As

Publication number Publication date
KR20120140180A (ko) 2012-12-28
US20170084485A1 (en) 2017-03-23
TW201301436A (zh) 2013-01-01
CN102832165A (zh) 2012-12-19
US20150235963A1 (en) 2015-08-20
US9029260B2 (en) 2015-05-12
KR101314896B1 (ko) 2013-10-04
US20120319278A1 (en) 2012-12-20
US9520362B2 (en) 2016-12-13
CN102832165B (zh) 2015-08-19
US10262944B2 (en) 2019-04-16

Similar Documents

Publication Publication Date Title
TWI509735B (zh) 半導體裝置及其製造方法
TWI616977B (zh) 半導體裝置及其製造方法
US9543193B2 (en) Non-hierarchical metal layers for integrated circuits
US8999839B2 (en) Semiconductor structure having an air-gap region and a method of manufacturing the same
TWI491004B (zh) 內連線結構與其形成方法
US9484257B2 (en) Semiconductor devices and methods of manufacture thereof
TWI718268B (zh) 半導體結構的製造方法
TW201731022A (zh) 具有超厚金屬之半導體結構及其製造方法
TW201637130A (zh) 用於金屬佈線之自對準雙重圖案化製程
KR102489216B1 (ko) 상호 접속 구조체 및 그 형성 방법
JP2009026989A (ja) 半導体装置及び半導体装置の製造方法
TWI545694B (zh) 形成積體電路之內連線結構的方法及半導體裝置
JP5388478B2 (ja) 半導体装置
US10923423B2 (en) Interconnect structure for semiconductor devices
US7339272B2 (en) Semiconductor device with scattering bars adjacent conductive lines
US20210351034A1 (en) Using A Self-Assembly Layer To Facilitate Selective Formation of An Etching Stop Layer
US20220102212A1 (en) Self-Aligned Via Formation Using Spacers
TWI509740B (zh) 雙鑲嵌製程
JP2012028480A (ja) 半導体装置及びその製造方法