TWI490979B - 形成穿基底導孔的方法 - Google Patents

形成穿基底導孔的方法 Download PDF

Info

Publication number
TWI490979B
TWI490979B TW100106617A TW100106617A TWI490979B TW I490979 B TWI490979 B TW I490979B TW 100106617 A TW100106617 A TW 100106617A TW 100106617 A TW100106617 A TW 100106617A TW I490979 B TWI490979 B TW I490979B
Authority
TW
Taiwan
Prior art keywords
substrate
forming
opening
layer
dielectric layer
Prior art date
Application number
TW100106617A
Other languages
English (en)
Other versions
TW201214622A (en
Inventor
Ku Feng Yang
Yung Chi Lin
Hung Pin Chang
Tsang Jiuh Wu
Wen Chih Chiou
Original Assignee
Taiwan Semiconductor Mfg Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Mfg Co Ltd filed Critical Taiwan Semiconductor Mfg Co Ltd
Publication of TW201214622A publication Critical patent/TW201214622A/zh
Application granted granted Critical
Publication of TWI490979B publication Critical patent/TWI490979B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/0401Bonding areas specifically adapted for bump connectors, e.g. under bump metallisation [UBM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/0502Disposition
    • H01L2224/05025Disposition the internal layer being disposed on a via connection of the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/1302Disposition
    • H01L2224/13025Disposition the bump connector being disposed on a via connection of the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/03Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/00013Fully indexed content
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

形成穿基底導孔的方法
本發明係關於一種半導體元件的形成方法,且特別關於一種穿基底導孔(through-substrate via,TSV)的形成方法。
穿基底導孔(through-substrate via,TSV)通常使用於三維(three-dimensional,3D)積體電路中。穿基底導孔穿過基底,且被用來與在基底之相對側上的結構電性互相耦接(inter-couple)。
一般而言,穿基底導孔形成製程包括蝕刻或鑽孔進入基底以形成穿基底導孔開口。之後以一導電材料填入穿基底導孔開口,其之後被平坦化以移除超出部分,且於基底中之導電材料的剩餘部分形成穿基底導孔。之後,例如,使用鑲嵌製程形成額外之金屬線及/或金屬接觸墊於穿基底導孔上並與穿基底導孔電性耦接。
一種形成穿基底導孔的方法,包括:提供一基底;形成一介電層於該基底上;形成一第一開口於該基底中;形成一第二開口於該介電層中,其中該第一與該第二開口具有不同的水平尺寸;填入一金屬材料於該第一與第二開口中;以及執行一平坦化於該金屬材料上以移除該金屬材料高於該介電層之一頂部表面的超出部分,其中該金屬材料的剩餘部分形成一穿基底導孔於該第一開口中,與一第一金屬接觸墊於該第二開口中。
一種形成穿基底導孔的方法,包括:提供一基底;形成一隔離層於該基底上;形成一第一介電層於該隔離層上;形成一第一開口自該第一介電層的一頂部表面延伸進入該基底;蝕刻該第一介電層以擴大該第一介電層中之該第一開口成為一第二開口;形成一第一擴散阻擋層於該第一與該第二開口之側壁上;填入一金屬材料於該第一與該第二開口中且於該第一擴散阻擋層上;以及執行一平坦化於該金屬材料上以移除該金屬材料高於該第一介電層之一頂部表面的超出部分以形成一穿基底導孔於該第一開口中,與一第一金屬接觸墊於該第二開口中。
為了讓本發明之上述和其他目的、特徵、和優點能更明顯易懂,下文特舉較佳實施例,並配合所附圖示,作詳細說明如下:
依照一實施例提供一種新穎之穿基底導孔(through substrate via,TSV)與其形成方法。以圖解說明製造一實施例的中間階段。之後討論實施例的變化。遍及不同之圖式與說明實施例中,使用相同之標號來表示相同之元件。
參見第1圖,提供基底10。基底10可為晶圓100的一部份。所繪出之結構為於晶圓100中之一晶片/晶粒的一部份,其包括複數個與所繪出之晶片相同的晶片。基底10可由一半導體材料所形成,例如矽、矽鍺、碳化矽、砷化鎵或其他通常使用之半導體材料。或者,基底10係由一介電材料所形成,例如氧化矽。晶圓100可包括主動元件,例如電晶體(未顯示)。因此,晶圓100為一元件晶圓(device wafer),且於其中之分別的晶片/晶粒為元件晶片/晶粒(device chip/die)。或者,晶圓100沒有主動元件,且可包括,或可不具被動元件,例如電容器、電阻器、電感器(inductor)、變容器(varactor)及/或其類似物(未顯示)。因此,晶圓100為一插入晶圓(interposer wafer)其包括中介片(interposer),或為一晶圓其包括封裝基底(package substrate)。
於基底10上形成介電層12。在一實施例中,基底10為一半導體基底,且介電層12為一隔離層,其可包括藉由基底10之熱氧化所形成的一氧化物。或者,介電層12可藉由使用一沈積方法來形成,且可包括氧化矽、氮化矽或其類似物等。在於其中在基底10之表面10a上形成主動元件(未顯示)的實施例中,介電層12可包括層間介電層(inter-layer dielectric)(於其中形成連接至電晶體之閘極、源極與汲極區的接觸插塞(contact plug)(未顯示))。介電層12可更包括一接觸蝕刻終止層(contact etch stop layer,CESL)(未顯示)。於介電層12上形成介電層14。介電層14的材料可包括氧化矽、一旋塗式介電質(spin-on dielectric,SOD)材料、聚醯亞胺(polyimide)及/或其類似物。或者,介電層14係由一低介電常數材料所形成,其具有,例如小於約3.0,或小於約2.5的k值。介電層14的厚度可大於介電層12的厚度。介電層12與14可由相同或不同的材料所形成。介電層14可更包括一蝕刻終止層(例如,一氮化矽層或一碳化矽層,未顯示)及/或一抗反射塗佈層(anti-reflection coating layer,ARC)(例如,一氮氧化矽層,未顯示)。
參見第2圖,穿基底導孔開口18係藉由蝕刻進入介電層14、12與進入基底10來形成。在其中基底10為一半導體基底的實施例中,熱氧化襯底20可形成於基底10暴露於穿基底導孔開口18的表面上。熱氧化襯底20可藉由使用熱氧化來形成,然而,也可使用一沈積方法,例如電漿輔助化學氣相沈積(plasma-enhanced chemical vapor deposition,PECVD)。
第3與4圖圖解說明光阻22的施用與圖案化。參見第3圖,提供光阻22。光阻22可流進穿基底導孔開口18中。於第4圖中,將光阻22暴露於光下並顯影,隨著光阻22直接位於穿基底導孔開口18上的部分被移除。為了在介電層14中形成溝槽,可移除光阻22的額外部分。其觀察到光阻22於穿基底導孔開口18中的下部,可不被充分地暴露於光下,且因此在光阻22的顯影期間不被移除。
接著,如於第5圖中所示,將光阻22使用為一罩幕以蝕刻介電層14。在蝕刻步驟期間,介電層12可被使用為一蝕刻終止層,然而可於介電層12與14之間形成一額外的蝕刻終止層(未顯示)。由於蝕刻步驟,接觸墊開口24與溝槽26被形成於介電層14中。之後,例如經由一灰化(ashing)步驟來移除光阻22。光阻22在穿基底導孔開口18中的部分也被移除。在所產生的結構中,接觸墊開口24可具有一水平尺寸W1(其可為一直徑或一長度/寬度,依據接觸墊開口24的上視形狀),其大於穿基底導孔開口18的水平尺寸W2。
第6圖圖解說明阻擋層30與晶種層32的形成。於一實施例中,阻擋層30係由鈦、氮化鈦、鉭及/或氮化鉭所形成。晶種層32可由銅或銅合金所形成。阻擋層30與晶種層32的可實施形成方法包括物理氣相沈積(physical vapor deposition,PVD)、化學氣相沈積(chemical vapor deposition,CVD)與其他沈積方法。
之後,例如使用電化學電鍍(electro-chemical plating,ECP)將金屬材料34填入開口18、24與26中,如於第7圖中所示。晶種層32與金屬材料34可由相似之材料所形成,例如銅,且因此晶種層32似乎被與金屬材料34合併,且不顯示於接下來的圖式中。金屬材料34可包括銅或銅合金。金屬材料34的頂部表面高於介電層14的頂部表面。接著,執行化學機械研磨(chemical mechanical polish,CMP)以移除金屬材料34高於介電層14之頂部表面的部分。因此,形成金屬接觸墊38、金屬線44與穿基底導孔40。在說明書中,所提及之金屬接觸墊38與金屬線44為位於金屬層M1中,其為第一金屬層直接位於基底10上。在接下來的步驟中,額外之金屬層與導孔(via)(未顯示)可被形成於金屬層M1上,且可電性耦接至金屬接觸墊38、金屬線44與穿基底導孔40。焊錫凸塊(solder bumps)(未顯示)也可被形成於M1上與於額外之金屬層上,若有的話,且可電性耦接至金屬接觸墊38、金屬線44與穿基底導孔40。
接著,如第9圖中所示,於基底10之表面10b上執行一研磨,直到穿基底導孔40被露出。於所產生之基底10的表面10b上形成隔離層46。隔離層46可由氧化矽、氮化矽或其類似物所形成。然後,如於第10圖中所示,介電層48係形成於隔離層46之上。介電層48可包括與介電層14相似的材料。之後擴散阻擋層50與金屬接觸墊52被形成於介電層48中,且與穿基底導孔40電性耦接。相似地,擴散阻擋層50可由鈦、氮化鈦、鉭及/或氮化鉭所形成,而金屬接觸墊52可由一含銅材料所形成。擴散阻擋層50與金屬接觸墊52的形成製程可包括形成一接觸墊開口(未顯示,被擴散阻擋層50與金屬接觸墊52所佔據)於介電層48中、形成一擴散阻擋層與一晶種層、執行一電化學電鍍來以一金屬材料填滿開口,及之後執行一化學機械研磨以移除超出之金屬材料。也可將額外之金屬層與凸塊(bump)(未顯示)形成於與金屬接觸墊52相同側之基底10上,並電性耦接至穿基底導孔40。
在如第10圖中所示之結構中,觀察到金屬接觸墊38與穿基底導孔40不具有擴散阻擋層位於其間。作為替代地,分別之擴散阻擋層30自介電層14之頂部表面連續地延伸進入基底10中。換句話說,金屬接觸墊38與穿基底導孔40係由相同之材料所形成,其自介電層14之頂部表面連續地延伸至基底10的底部表面,而無擴散阻擋層(其由一不同於金屬接觸墊38與穿基底導孔40的材料所形成)介於金屬接觸墊38與穿基底導孔40之間並隔開金屬接觸墊38與穿基底導孔40。另一方面,穿基底導孔40與金屬接觸墊52為藉由擴散阻擋層50來彼此分隔,擴散阻擋層50係由一不同於穿基底導孔40與金屬接觸墊52之材料的材料所形成。此外,金屬接觸墊38可被形成於基底10的正面或背面上。在其中主動元件(未顯示)被形成於晶圓100中的實施例之中,自晶圓100切割所產生的晶片/晶粒可為一元件晶粒。或者,其中沒有主動元件被形成於晶圓100中的實施例,自晶圓100切割所產生的晶片/晶粒可為一插入晶粒(interposer die),或為一封裝基底。
在以上所討論的實施例中,在形成穿基底導孔40之後,形成隔離層46、介電層48、擴散阻擋層50與金屬接觸墊52。在替代實施例中,可在形成穿基底導孔40之前,形成隔離層46、介電層48、擴散阻擋層50與金屬接觸墊52。因此,在穿基底導孔開口(參照第2圖中的18)的形成中,擴散阻擋層50與金屬接觸墊52可被使用為蝕刻基底10的蝕刻終止層。
第11至第16圖圖解說明依照替代實施例於一穿基底導孔的形成中之中間階段的剖面圖。除非以不同方式載明,否則於這些實施例中之標示數字代表如於第1至10圖中所圖解說明之實施例中的相同元件。因此,不於此重複這些元件的材料與形成細部。參見第11圖,提供基底10。基底10係由半導體材料所形成,例如矽。在第12圖中,例如藉由蝕刻進入基底10來形成穿基底導孔開口18。於穿基底導孔開口18的側壁與底部形成介電襯底60。在一實施例中,介電襯底60係由熱氧化所形成,且因此可包括,例如氧化矽。在替代實施例中,可使用適合形成保角介電層(conformal dielectric layer)之沈積方法來沈積介電襯底60,且介電襯底60可包括氧化矽、氮化矽、氮氧化矽及/或其他通常使用之介電材料。介電襯底60因此包括在穿基底導孔開口內的部分與直接在基底10之頂部表面10a上並與基底10之頂部表面10a接觸的部分。
接著,參見第13圖,例如,使用適合形成非保角介電層的沈積方法來於介電襯底60上形成介電層14。一示範之沈積方法為,例如化學氣相沈積。相似地,介電層14可更包括一蝕刻終止層(例如,氮化矽層或碳化矽層,未顯示)及/或一抗反射塗佈層(ARC,例如一氮氧化矽層,未顯示)。因此,較少介電材料被沈積於穿基底導孔開口18內。然後,於介電層14上形成光阻22,如於第14圖中所示,且之後將光阻22圖案化以於介電層14中形成接觸墊開口24與溝槽26。所產生之結構為顯示於第15圖中。之後移除光阻22。剩餘製程實質上與於第6至10圖中所示相同,且因此不於此詳述。第16圖圖解說明在執行如顯示於第6至10圖中之類似製程步驟後所產生結構,其結構包括金屬接觸墊38與52、穿基底導孔40與金屬線44。與如在第10圖中所示之實施例相似,金屬接觸墊38與穿基底導孔40不具有一擴散阻擋層於其間,而擴散阻擋層30之一自介電層14之頂部表面連續延伸至基底10之表面10b。
依照實施例,一元件包括一基底,其具有一第一表面與相對於該第一表面的一第二表面。一穿基底導孔自基底的第一表面延伸至第二表面。一介電層設置於基底上。一金屬接觸墊設置於介電層中且實際接觸穿基底導孔,其中金屬接觸墊與穿基底導孔係由一相同之材料所形成,且其中沒有由與此相同材料不同的材料所形成的層介於穿基底導孔與金屬接觸墊之間並將穿基底導孔與金屬接觸墊彼此隔開。
依照其他實施例,一元件包括一基底,其具有一頂部表面與相對於頂部表面的一底部表面;一穿基底導孔自基底之頂部表面延伸進入基底;一隔離層於基底之頂部表面上;一介電層於隔離層上;一金屬接觸墊於介電層中且接觸穿基底導孔,其中金屬接觸墊與穿基底導孔係由一相同之材料所形成,且具有不同之水平尺寸;以及一導電擴散阻擋自介電層的一頂部表面延伸至且穿基底導孔的底部表面,其中導電擴散阻擋包圍金屬接觸墊與穿基底導孔。
依照又其他實施例,一元件包括一半導體基底,其具有一頂部表面與相對於頂部表面的一底部表面;一穿基底導孔自基底之頂部表面延伸至底部表面;一第一介電層於半導體基底上;一第二介電層於第一介電層上;以及一金屬接觸墊於第二介電層中且與穿基底導孔電性耦接。金屬接觸墊與穿基底導孔係由一相同之含銅材料所形成,其中金屬接觸墊具有一水平尺寸其大於穿基底導孔的水平尺寸。元件更包括一擴散阻擋層,其包括於金屬接觸墊之側壁上的一第一側壁部分;以及於穿基底導孔之側壁上的一第二側壁部分,其中擴散阻擋層不包括任何延伸於金屬接觸墊與穿基底導孔之間的部分。
雖然本發明已以較佳實施例揭露如上,然其並非用以限定本發明,任何熟習此技藝者,在不脫離本發明之精神和範圍內,當可作些許之更動與潤飾,因此本發明之保護範圍當視後附之申請專利範圍所界定者為準。
10...基底
10a、10b...基底10之表面
100...晶圓
12、14、48...介電層
18...穿基底導孔開口
20...熱氧化襯底
22...光阻
24...接觸墊開口
26...溝槽
W1...接觸墊開口24的水平尺寸
W2...穿基底導孔開口18的水平尺寸
30...阻擋層
32...晶種層
34...金屬材料
38、52...金屬接觸墊
40...穿基底導孔
44...金屬線
46...隔離層
50...擴散阻擋層
第1至10圖為依照各種實施例於一穿基底導孔的製造中之中間階段的剖面圖。
第11至16圖為依照替代實施例於一穿基底導孔的製造中之中間階段的剖面圖。
10...基底
100...晶圓
12、14、48...介電層
30...阻擋層
38、52...金屬接觸墊
40...穿基底導孔
44...金屬線
46...隔離層
50...擴散阻擋層

Claims (9)

  1. 一種形成穿基底導孔的方法,包括:提供具有一主表面之一基底;形成一介電層於該主表面上;形成一第一開口於該基底中;形成一第二開口於該介電層中,其中該第一與該第二開口沿著與該主表面呈直角之一共同軸排列且具有不同的水平尺寸;在該形成該第一開口的步驟之後與該形成該第二開口的步驟之前,形成一介電襯底於該基底暴露於該第一開口的表面上;以一金屬材料填滿該第一與第二開口,其中該金屬材料為連續的;以及執行一平坦化於該金屬材料上以移除該金屬材料高於該介電層之一頂部表面的超出部分,其中該金屬材料的剩餘部分形成一穿基底導孔於該第一開口中,與一第一金屬接觸墊於該第二開口中。
  2. 如申請專利範圍第1項所述之形成穿基底導孔的方法,更包括在該填入該金屬材料的步驟之前,形成一擴散阻擋層於該第一與第二開口的側壁上。
  3. 如申請專利範圍第1項所述之形成穿基底導孔的方法,更包括在該形成該介電層的步驟之前,形成一隔離層於該基底之一頂部表面上並與該基底之該頂部表面接觸。
  4. 如申請專利範圍第1項所述之形成穿基底導孔的 方法,其中該形成該介電層的步驟係被執行在該形成該第一開口的步驟之後,且其中該方法更包括在該形成該第一開口的步驟之後與該形成該介電層的步驟之前,形成一介電襯底於該第一開口的側壁上與該基底的一頂部表面上。
  5. 如申請專利範圍第1項所述之形成穿基底導孔的方法,更包括,在該執行該平坦化的步驟之後:研磨該基底直到該穿基底導孔露出;形成一擴散阻擋層接觸該穿基底導孔;以及形成一第二金屬接觸墊接觸該擴散阻擋層且電性耦接至該穿基底導孔。
  6. 如申請專利範圍第1項所述之形成穿基底導孔的方法,更包括:在執行該形成該第二開口的步驟之時,同時形成一溝槽於該介電層中,其中該金屬材料被填入該溝槽中,且其中在該執行該平坦化於該金屬材料的步驟之後,一金屬線形成於該溝槽中。
  7. 如申請專利範圍第1項所述之形成穿基底導孔的方法,其中該基底為一半導體基底,且其中該第一金屬接觸墊為在一第一金屬層中,該第一金屬層為直接於一層間介電層上。
  8. 如申請專利範圍第1項所述之形成穿基底導孔的方法,其中該基底為一半導體基底,且其中沒有主動元件被形成於該基底之任何表面上。
  9. 如申請專利範圍第1項所述之形成穿基底導孔的方法,其中該基底為一介電基底。
TW100106617A 2010-09-30 2011-03-01 形成穿基底導孔的方法 TWI490979B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US12/895,296 US8580682B2 (en) 2010-09-30 2010-09-30 Cost-effective TSV formation

Publications (2)

Publication Number Publication Date
TW201214622A TW201214622A (en) 2012-04-01
TWI490979B true TWI490979B (zh) 2015-07-01

Family

ID=45890173

Family Applications (1)

Application Number Title Priority Date Filing Date
TW100106617A TWI490979B (zh) 2010-09-30 2011-03-01 形成穿基底導孔的方法

Country Status (3)

Country Link
US (2) US8580682B2 (zh)
CN (1) CN102446830B (zh)
TW (1) TWI490979B (zh)

Families Citing this family (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI349974B (en) * 2007-07-06 2011-10-01 Unimicron Technology Corp Structure with embedded circuit
US8580682B2 (en) 2010-09-30 2013-11-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cost-effective TSV formation
US9190325B2 (en) 2010-09-30 2015-11-17 Taiwan Semiconductor Manufacturing Company, Ltd. TSV formation
US9305865B2 (en) * 2013-10-31 2016-04-05 Micron Technology, Inc. Devices, systems and methods for manufacturing through-substrate vias and front-side structures
US8803322B2 (en) * 2011-10-13 2014-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. Through substrate via structures and methods of forming the same
CN102623437B (zh) * 2012-04-06 2017-05-31 上海集成电路研发中心有限公司 硅通孔结构及其制造方法
US9570648B2 (en) * 2012-06-15 2017-02-14 Intersil Americas LLC Wafer level optical proximity sensors and systems including wafer level optical proximity sensors
US9343393B2 (en) 2012-08-15 2016-05-17 Industrial Technology Research Institute Semiconductor substrate assembly with embedded resistance element
TWI497661B (zh) * 2012-08-15 2015-08-21 Ind Tech Res Inst 半導體基板
US9012324B2 (en) * 2012-08-24 2015-04-21 United Microelectronics Corp. Through silicon via process
CN102903686B (zh) * 2012-09-29 2015-04-08 中国航天科技集团公司第九研究院第七七一研究所 基于soi的tsv立体集成互连结构
US9177914B2 (en) 2012-11-15 2015-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. Metal pad structure over TSV to reduce shorting of upper metal layer
US9064850B2 (en) * 2012-11-15 2015-06-23 Taiwan Semiconductor Manufacturing Company, Ltd. Through-substrate via formation with improved topography control
US9034752B2 (en) 2013-01-03 2015-05-19 Micron Technology, Inc. Methods of exposing conductive vias of semiconductor devices and associated structures
US9123789B2 (en) * 2013-01-23 2015-09-01 United Microelectronics Corp. Chip with through silicon via electrode and method of forming the same
US10115701B2 (en) 2014-06-26 2018-10-30 STATS ChipPAC Pte. Ltd. Semiconductor device and method of forming conductive vias by backside via reveal with CMP
US9768066B2 (en) 2014-06-26 2017-09-19 STATS ChipPAC Pte. Ltd. Semiconductor device and method of forming conductive vias by direct via reveal with organic passivation
KR102279729B1 (ko) 2014-12-01 2021-07-21 삼성전자주식회사 Tsv, 전면 범핑 패드 및 후면 범핑 패드를 갖는 반도체 소자
US9818622B2 (en) 2015-01-29 2017-11-14 Micron Technology, Inc. Uniform back side exposure of through-silicon vias
US9691658B1 (en) * 2016-05-19 2017-06-27 Globalfoundries Inc. Contact fill in an integrated circuit
CN109216268B (zh) * 2018-09-21 2021-04-16 德淮半导体有限公司 制造半导体装置的方法
US11088310B2 (en) * 2019-04-29 2021-08-10 International Business Machines Corporation Through-silicon-via fabrication in planar quantum devices
WO2022198530A1 (zh) * 2021-03-24 2022-09-29 华为技术有限公司 半导体器件及其制备方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090206488A1 (en) * 2008-02-16 2009-08-20 International Business Machines Corporation Through substrate annular via including plug filler
US20090280643A1 (en) * 2008-05-06 2009-11-12 International Business Machines Corporation Optimal tungsten through wafer via and process of fabricating same

Family Cites Families (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05211239A (ja) 1991-09-12 1993-08-20 Texas Instr Inc <Ti> 集積回路相互接続構造とそれを形成する方法
DE4314907C1 (de) 1993-05-05 1994-08-25 Siemens Ag Verfahren zur Herstellung von vertikal miteinander elektrisch leitend kontaktierten Halbleiterbauelementen
US5391917A (en) 1993-05-10 1995-02-21 International Business Machines Corporation Multiprocessor module packaging
EP2270845A3 (en) 1996-10-29 2013-04-03 Invensas Corporation Integrated circuits and methods for their fabrication
US6882030B2 (en) 1996-10-29 2005-04-19 Tru-Si Technologies, Inc. Integrated circuit structures with a conductor formed in a through hole in a semiconductor substrate and protruding from a surface of the substrate
DE19645854A1 (de) * 1996-11-07 1998-05-14 Hewlett Packard Co Verfahren zur Herstellung von Leiterplatten
US6037822A (en) 1997-09-30 2000-03-14 Intel Corporation Method and apparatus for distributing a clock on the silicon backside of an integrated circuit
US5998292A (en) 1997-11-12 1999-12-07 International Business Machines Corporation Method for making three dimensional circuit integration
JP3532788B2 (ja) 1999-04-13 2004-05-31 唯知 須賀 半導体装置及びその製造方法
US6322903B1 (en) 1999-12-06 2001-11-27 Tru-Si Technologies, Inc. Package of integrated circuits and vertical integration
US6444576B1 (en) 2000-06-16 2002-09-03 Chartered Semiconductor Manufacturing, Ltd. Three dimensional IC package module
US6878615B2 (en) * 2001-05-24 2005-04-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method to solve via poisoning for porous low-k dielectric
US6599778B2 (en) 2001-12-19 2003-07-29 International Business Machines Corporation Chip and wafer integration process using vertical connections
WO2003063242A1 (en) 2002-01-16 2003-07-31 Alfred E. Mann Foundation For Scientific Research Space-saving packaging of electronic circuits
US6762076B2 (en) 2002-02-20 2004-07-13 Intel Corporation Process of vertically stacking multiple wafers supporting different active integrated circuit (IC) devices
US6800930B2 (en) 2002-07-31 2004-10-05 Micron Technology, Inc. Semiconductor dice having back side redistribution layer accessed using through-silicon vias, and assemblies
US7030481B2 (en) 2002-12-09 2006-04-18 Internation Business Machines Corporation High density chip carrier with integrated passive devices
US6841883B1 (en) 2003-03-31 2005-01-11 Micron Technology, Inc. Multi-dice chip scale semiconductor components and wafer level methods of fabrication
JP4248928B2 (ja) * 2003-05-13 2009-04-02 ローム株式会社 半導体チップの製造方法、半導体装置の製造方法、半導体チップ、および半導体装置
US6924551B2 (en) 2003-05-28 2005-08-02 Intel Corporation Through silicon via, folded flex microelectronic package
US7111149B2 (en) 2003-07-07 2006-09-19 Intel Corporation Method and apparatus for generating a device ID for stacked devices
TWI251313B (en) 2003-09-26 2006-03-11 Seiko Epson Corp Intermediate chip module, semiconductor device, circuit board, and electronic device
US7335972B2 (en) 2003-11-13 2008-02-26 Sandia Corporation Heterogeneously integrated microsystem-on-a-chip
US7049170B2 (en) 2003-12-17 2006-05-23 Tru-Si Technologies, Inc. Integrated circuits and packaging substrates with cavities, and attachment methods including insertion of protruding contact pads into cavities
US7060601B2 (en) 2003-12-17 2006-06-13 Tru-Si Technologies, Inc. Packaging substrates for integrated circuits and soldering methods
JP4467318B2 (ja) 2004-01-28 2010-05-26 Necエレクトロニクス株式会社 半導体装置、マルチチップ半導体装置用チップのアライメント方法およびマルチチップ半導体装置用チップの製造方法
US7199050B2 (en) * 2004-08-24 2007-04-03 Micron Technology, Inc. Pass through via technology for use during the manufacture of a semiconductor device
US7300857B2 (en) * 2004-09-02 2007-11-27 Micron Technology, Inc. Through-wafer interconnects for photoimager and memory wafers
US7262495B2 (en) 2004-10-07 2007-08-28 Hewlett-Packard Development Company, L.P. 3D interconnect with protruding contacts
JP4873517B2 (ja) * 2004-10-28 2012-02-08 オンセミコンダクター・トレーディング・リミテッド 半導体装置及びその製造方法
JP4456027B2 (ja) * 2005-03-25 2010-04-28 Okiセミコンダクタ株式会社 貫通導電体の製造方法
US7297574B2 (en) 2005-06-17 2007-11-20 Infineon Technologies Ag Multi-chip device and method for producing a multi-chip device
US7939941B2 (en) * 2007-06-27 2011-05-10 Taiwan Semiconductor Manufacturing Company, Ltd. Formation of through via before contact processing
JP2009124087A (ja) * 2007-11-19 2009-06-04 Oki Semiconductor Co Ltd 半導体装置の製造方法
US7863180B2 (en) * 2008-05-06 2011-01-04 International Business Machines Corporation Through substrate via including variable sidewall profile
US8169055B2 (en) * 2009-03-18 2012-05-01 International Business Machines Corporation Chip guard ring including a through-substrate via
US8329578B2 (en) * 2009-03-27 2012-12-11 Taiwan Semiconductor Manufacturing Company, Ltd. Via structure and via etching process of forming the same
CN101847597B (zh) * 2009-03-27 2013-12-04 台湾积体电路制造股份有限公司 集成电路结构
US8822329B2 (en) * 2009-09-28 2014-09-02 Infineon Technologies Ag Method for making conductive interconnects
US8580682B2 (en) 2010-09-30 2013-11-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cost-effective TSV formation

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090206488A1 (en) * 2008-02-16 2009-08-20 International Business Machines Corporation Through substrate annular via including plug filler
US20090280643A1 (en) * 2008-05-06 2009-11-12 International Business Machines Corporation Optimal tungsten through wafer via and process of fabricating same

Also Published As

Publication number Publication date
TW201214622A (en) 2012-04-01
US20140008802A1 (en) 2014-01-09
CN102446830B (zh) 2015-04-01
CN102446830A (zh) 2012-05-09
US8836085B2 (en) 2014-09-16
US8580682B2 (en) 2013-11-12
US20120083116A1 (en) 2012-04-05

Similar Documents

Publication Publication Date Title
TWI490979B (zh) 形成穿基底導孔的方法
US10504776B2 (en) Methods for forming through-substrate vias penetrating inter-layer dielectric
US20210125900A1 (en) Through-Substrate Vias with Improved Connections
US9691840B2 (en) Cylindrical embedded capacitors
US9087878B2 (en) Device with through-silicon via (TSV) and method of forming the same
US9269651B2 (en) Hybrid TSV and method for forming the same
US8803322B2 (en) Through substrate via structures and methods of forming the same
KR101117444B1 (ko) 스캘럽 측벽을 구비하는 관통 실리콘 비아
US8466062B2 (en) TSV backside processing using copper damascene interconnect technology
TWI648839B (zh) 具有內連結構的裝置及其製造方法
US9633929B2 (en) TSV formation
TW201308556A (zh) 使用多層介層窗的3d積體電路
US8890293B2 (en) Guard ring for through vias
TW201907499A (zh) 具有混合金屬化之互連

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees