TWI456638B - 具有區域依賴性熱效率之溫度受控電漿製程腔室部件 - Google Patents

具有區域依賴性熱效率之溫度受控電漿製程腔室部件 Download PDF

Info

Publication number
TWI456638B
TWI456638B TW100120028A TW100120028A TWI456638B TW I456638 B TWI456638 B TW I456638B TW 100120028 A TW100120028 A TW 100120028A TW 100120028 A TW100120028 A TW 100120028A TW I456638 B TWI456638 B TW I456638B
Authority
TW
Taiwan
Prior art keywords
length
heat transfer
component
channel
plasma processing
Prior art date
Application number
TW100120028A
Other languages
English (en)
Other versions
TW201230166A (en
Inventor
Chetan Mahadeswaraswamy
Kallol Bera
Larry D Elizaga
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW201230166A publication Critical patent/TW201230166A/zh
Application granted granted Critical
Publication of TWI456638B publication Critical patent/TWI456638B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32908Utilities
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F28HEAT EXCHANGE IN GENERAL
    • F28DHEAT-EXCHANGE APPARATUS, NOT PROVIDED FOR IN ANOTHER SUBCLASS, IN WHICH THE HEAT-EXCHANGE MEDIA DO NOT COME INTO DIRECT CONTACT
    • F28D21/00Heat-exchange apparatus not covered by any of the groups F28D1/00 - F28D20/00
    • F28D2021/0019Other heat exchangers for particular applications; Heat exchange systems not otherwise provided for
    • F28D2021/0028Other heat exchangers for particular applications; Heat exchange systems not otherwise provided for for cooling heat generating elements, e.g. for cooling electronic components or electric devices
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F28HEAT EXCHANGE IN GENERAL
    • F28FDETAILS OF HEAT-EXCHANGE AND HEAT-TRANSFER APPARATUS, OF GENERAL APPLICATION
    • F28F7/00Elements not covered by group F28F1/00, F28F3/00 or F28F5/00
    • F28F7/02Blocks traversed by passages for heat-exchange media

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Analytical Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Plasma & Fusion (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Claims (20)

  1. 一種電漿製程腔室部件,該電漿製程腔室部件包含:一工作表面,該工作表面係置於一電漿製程腔室內;一第一通道,該第一通道係置於該部件內該工作表面下方,其中該第一通道係用於傳導一第一熱傳流體,且其中該第一通道在該工作表面之一第一溫度區域下方之一第一長度係包含比該第一通道在該工作表面之一第二溫度區域下方的一第二長度較低之一熱傳係數或較小熱傳面積;以及一第二通道,該第二通道係置於該部件中該工作表面之該第一區域下方,其中該第二通道係用以傳導一第二熱傳流體,且其中該第一通道與該第二通道是分離的,以及其中該第一長度上之熱傳係數或熱傳面積係小於該第二通道之一長度上的熱傳係數或熱傳面積。
  2. 如請求項1之部件,其中該第二通道係用以傳導一第二熱傳流體,其中該第二長度係位於該第一長度下游。
  3. 如請求項2之部件,其中該第一溫度區域係與該第二溫度區域相鄰。
  4. 如請求項3之部件,其中該工作表面為圓形,且其中該第一溫度區域包含該工作表面的一環形部分,該環形部分係限定該第二溫度區域。
  5. 如請求項4之部件,其中該第一通道進一步包含一第三長度,該第三長度係位於該第二長度下游且在該第一溫度區域下方,在該第三長度上之熱傳係數或熱傳面積係小於在該第二長度上之熱傳係數或熱傳面積。
  6. 如請求項1之部件,其中該第一長度上之熱傳係數係小於在該第二長度上之熱傳係數。
  7. 如請求項6之部件,其中該第一長度包含一通道套件,該通道套件由一抗熱材料所組成,以增加相對於該第二長度之熱阻值。
  8. 如請求項6之部件,其中該第一通道係配置於該工作表面下方、在該第一長度上比在該第二長度上之一較大距離處。
  9. 如請求項6之部件,其中一斷熱器係置於該第一通道與在該第一長度之至少一部分上的該工作表面之間,以增加相對於該第二長度之熱阻值。
  10. 如請求項9之部件,其中該斷熱器包含一排空空間或一非金屬材料。
  11. 如請求項1之部件,其中在該第一長度上之熱傳面積小於在該第二長度上之熱傳面積。
  12. 如請求項11之部件,其中該第一通道包含數個鰭部,該數個鰭部位於該第二長度上且未在該第一長度上。
  13. 如請求項1之部件,其中該第一長度具有一第一截面積,該第一截面積大於該第二長度之一第二截面積,以改變該第一長度與該第二長度之間的流動速度。
  14. 如請求項13之部件,其中該第一截面積係足夠大以在該第一長度的至少一部分上確保該第一液體之層流,而該第二截面積係足夠小以在該第二長度的至少一部分上誘生該第一液體之紊流。
  15. 一種電漿製程設備,該電漿製程設備包含:一電漿功率源,該電漿功率源耦接至該製程腔室以於處理一工作件期間供給能量給一電漿,該工作件係置於該製程腔室中;一製程腔室,該製程腔室包含一溫度受控部件, 該溫度受控部件係藉由一第一熱傳流體迴路而耦接至一熱源或熱沉,該第一熱傳流體迴路係通過內嵌在該溫度受控部件中之一通道的第一與第二長度,其中該第一長度是在該部件的一第一溫度區域下方,且該度二長度是在該部件的一第二溫度區域下方,其中該第一長度包含比該第二長度較低的一熱傳係數及/或熱傳面積;且該溫度受控部件進一步耦接至一第二熱傳流體迴路,其中該第二熱傳流體迴路係通過內嵌在該溫度受控部件中且在該部件之該第一溫度區域下方之該通道的一第三長度,且其中該第一熱傳流體迴路與該第二熱傳流體迴路是分離的。
  16. 如請求項15之電漿製程設備,其中該溫度受控部件係一氣體分佈噴淋頭或一基板支撐夾具,其中該第一溫度區域包含該噴淋頭或夾具的一環形部分,該環形部分圍繞該第二溫度區。
  17. 如請求項16之電漿製程設備,其中該第一長度具有比該第二長度與該第三長度較低之一熱傳係數或熱傳面積。
  18. 如請求項17之電漿製程設備,其中該第一長度上之熱傳係數小於該第二長度上之熱傳係數。
  19. 如請求項17之電漿製程設備,其中該第一長度上之熱傳面積小於該第二長度上之熱傳面積。
  20. 如請求項17之電漿製程設備,其中該第一長度具有一第一截面積,該第一截面積大於該第二長度之一第二截面積。
TW100120028A 2010-06-11 2011-06-08 具有區域依賴性熱效率之溫度受控電漿製程腔室部件 TWI456638B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US35415810P 2010-06-11 2010-06-11
US13/111,384 US8608852B2 (en) 2010-06-11 2011-05-19 Temperature controlled plasma processing chamber component with zone dependent thermal efficiencies

Publications (2)

Publication Number Publication Date
TW201230166A TW201230166A (en) 2012-07-16
TWI456638B true TWI456638B (zh) 2014-10-11

Family

ID=45095390

Family Applications (2)

Application Number Title Priority Date Filing Date
TW103131403A TWI622089B (zh) 2010-06-11 2011-06-08 具有區域依賴性熱效率之溫度受控電漿製程腔室部件
TW100120028A TWI456638B (zh) 2010-06-11 2011-06-08 具有區域依賴性熱效率之溫度受控電漿製程腔室部件

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW103131403A TWI622089B (zh) 2010-06-11 2011-06-08 具有區域依賴性熱效率之溫度受控電漿製程腔室部件

Country Status (6)

Country Link
US (2) US8608852B2 (zh)
JP (1) JP6076246B2 (zh)
KR (2) KR101737474B1 (zh)
CN (1) CN102870503B (zh)
TW (2) TWI622089B (zh)
WO (1) WO2011156240A2 (zh)

Families Citing this family (45)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8709162B2 (en) * 2005-08-16 2014-04-29 Applied Materials, Inc. Active cooling substrate support
JP2010016225A (ja) * 2008-07-04 2010-01-21 Tokyo Electron Ltd 温度調節機構および温度調節機構を用いた半導体製造装置
US8916793B2 (en) 2010-06-08 2014-12-23 Applied Materials, Inc. Temperature control in plasma processing apparatus using pulsed heat transfer fluid flow
US9338871B2 (en) * 2010-01-29 2016-05-10 Applied Materials, Inc. Feedforward temperature control for plasma processing apparatus
US8880227B2 (en) 2010-05-27 2014-11-04 Applied Materials, Inc. Component temperature control by coolant flow control and heater duty cycle control
US8591755B2 (en) * 2010-09-15 2013-11-26 Lam Research Corporation Methods for controlling plasma constituent flux and deposition during semiconductor fabrication and apparatus for implementing the same
US10274270B2 (en) 2011-10-27 2019-04-30 Applied Materials, Inc. Dual zone common catch heat exchanger/chiller
JP5973731B2 (ja) * 2012-01-13 2016-08-23 東京エレクトロン株式会社 プラズマ処理装置及びヒータの温度制御方法
US20130284372A1 (en) * 2012-04-25 2013-10-31 Hamid Tavassoli Esc cooling base for large diameter subsrates
WO2013176144A1 (ja) * 2012-05-25 2013-11-28 東京エレクトロン株式会社 プラズマ処理装置、及びプラズマ処理方法
WO2014017661A1 (ja) * 2012-07-27 2014-01-30 京セラ株式会社 流路部材およびこれを用いた熱交換器ならびに半導体製造装置
US20140069334A1 (en) * 2012-09-10 2014-03-13 Semicat, Inc. Temperature control of semiconductor processing chambers by modulating plasma generation energy
US20140069130A1 (en) * 2012-09-10 2014-03-13 Semicat, Inc. Temperature control of semiconductor processing chambers
US8970114B2 (en) * 2013-02-01 2015-03-03 Lam Research Corporation Temperature controlled window of a plasma processing chamber component
KR200488076Y1 (ko) * 2013-03-14 2018-12-12 어플라이드 머티어리얼스, 인코포레이티드 히터를 구비한 기판 지지 페디스털
US10297459B2 (en) 2013-09-20 2019-05-21 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
JP6018606B2 (ja) * 2014-06-27 2016-11-02 東京エレクトロン株式会社 温度制御可能なステージを含むシステム、半導体製造装置及びステージの温度制御方法
US9837254B2 (en) 2014-08-12 2017-12-05 Lam Research Corporation Differentially pumped reactive gas injector
US10825652B2 (en) 2014-08-29 2020-11-03 Lam Research Corporation Ion beam etch without need for wafer tilt or rotation
US9406535B2 (en) 2014-08-29 2016-08-02 Lam Research Corporation Ion injector and lens system for ion beam milling
US9536748B2 (en) 2014-10-21 2017-01-03 Lam Research Corporation Use of ion beam etching to generate gate-all-around structure
US9620377B2 (en) 2014-12-04 2017-04-11 Lab Research Corporation Technique to deposit metal-containing sidewall passivation for high aspect ratio cylinder etch
US9384998B2 (en) 2014-12-04 2016-07-05 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9887097B2 (en) 2014-12-04 2018-02-06 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US10170324B2 (en) 2014-12-04 2019-01-01 Lam Research Corporation Technique to tune sidewall passivation deposition conformality for high aspect ratio cylinder etch
JP5841281B1 (ja) * 2015-06-15 2016-01-13 伸和コントロールズ株式会社 プラズマ処理装置用チラー装置
CN107851559B (zh) 2015-06-26 2022-04-26 东京毅力科创株式会社 气相蚀刻系统和方法
US9543148B1 (en) 2015-09-01 2017-01-10 Lam Research Corporation Mask shrink layer for high aspect ratio dielectric etch
US9779955B2 (en) 2016-02-25 2017-10-03 Lam Research Corporation Ion beam etching utilizing cryogenic wafer temperatures
US10780447B2 (en) * 2016-04-26 2020-09-22 Applied Materials, Inc. Apparatus for controlling temperature uniformity of a showerhead
US20180143332A1 (en) * 2016-11-18 2018-05-24 Plasma-Therm Llc Ion Filter
US10604841B2 (en) 2016-12-14 2020-03-31 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US20180286707A1 (en) * 2017-03-30 2018-10-04 Lam Research Corporation Gas additives for sidewall passivation during high aspect ratio cryogenic etch
US10276398B2 (en) 2017-08-02 2019-04-30 Lam Research Corporation High aspect ratio selective lateral etch using cyclic passivation and etching
US10867812B2 (en) 2017-08-30 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor manufacturing system and control method
US10734238B2 (en) 2017-11-21 2020-08-04 Lam Research Corporation Atomic layer deposition and etch in a single plasma chamber for critical dimension control
US10658174B2 (en) * 2017-11-21 2020-05-19 Lam Research Corporation Atomic layer deposition and etch for reducing roughness
US10515815B2 (en) 2017-11-21 2019-12-24 Lam Research Corporation Atomic layer deposition and etch in a single plasma chamber for fin field effect transistor formation
US11015247B2 (en) 2017-12-08 2021-05-25 Lam Research Corporation Integrated showerhead with improved hole pattern for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
TWI722451B (zh) * 2018-06-08 2021-03-21 美商應用材料股份有限公司 支撐組件與使用其之腔室
US10900124B2 (en) * 2018-06-12 2021-01-26 Lam Research Corporation Substrate processing chamber with showerhead having cooled faceplate
JP7373963B2 (ja) * 2019-10-01 2023-11-06 東京エレクトロン株式会社 基板支持器及びプラズマ処理装置
JP7364547B2 (ja) * 2020-09-25 2023-10-18 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
CN114496692B (zh) * 2020-11-11 2024-03-12 中微半导体设备(上海)股份有限公司 加热组件、基片承载组件及其等离子体处理装置
US11913563B2 (en) 2021-12-30 2024-02-27 Applied Materials, Inc. Temperature actuated valve and methods of use thereof

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003243492A (ja) * 2003-02-19 2003-08-29 Hitachi High-Technologies Corp ウエハ処理装置とウエハステージ及びウエハ処理方法
US20060118243A1 (en) * 2004-12-02 2006-06-08 Min-Woong Choi Wafer support having cooling passageway for cooling a focus ring in plasma processing equipment
US20070091539A1 (en) * 2005-10-20 2007-04-26 Applied Materials, Inc. Plasma reactor with feed forward thermal control system using a thermal model for accommodating RF power changes or wafer temperature changes

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5540899A (en) * 1994-12-22 1996-07-30 Uop BI-directional control of temperatures in reactant channels
US5644467A (en) 1995-09-28 1997-07-01 Applied Materials, Inc. Method and structure for improving gas breakdown resistance and reducing the potential of arcing in a electrostatic chuck
US5846375A (en) * 1996-09-26 1998-12-08 Micron Technology, Inc. Area specific temperature control for electrode plates and chucks used in semiconductor processing equipment
CN101402249B (zh) * 1997-04-16 2013-02-27 赫斯基注射器成型系统有限公司 非晶体塑料制品的局部结晶方法和装置
US6106625A (en) * 1997-12-02 2000-08-22 Applied Materials, Inc. Reactor useful for chemical vapor deposition of titanium nitride
JPH11329926A (ja) * 1998-05-11 1999-11-30 Dainippon Screen Mfg Co Ltd 基板冷却装置および基板冷却方法
US6125025A (en) 1998-09-30 2000-09-26 Lam Research Corporation Electrostatic dechucking method and apparatus for dielectric workpieces in vacuum processors
US6606234B1 (en) 2000-09-05 2003-08-12 Saint-Gobain Ceramics & Plastics, Inc. Electrostatic chuck and method for forming an electrostatic chuck having porous regions for fluid flow
JP2002129331A (ja) * 2000-10-24 2002-05-09 Sony Corp 成膜装置および処理装置
JP5000842B2 (ja) * 2001-03-02 2012-08-15 東京エレクトロン株式会社 サセプタの駆動温度制御のための方法並びに装置
US6664738B2 (en) * 2002-02-27 2003-12-16 Hitachi, Ltd. Plasma processing apparatus
US7195693B2 (en) * 2002-06-05 2007-03-27 Advanced Thermal Sciences Lateral temperature equalizing system for large area surfaces during processing
WO2004095531A2 (en) * 2003-03-28 2004-11-04 Tokyo Electron Ltd Method and system for temperature control of a substrate
CN100495655C (zh) * 2003-09-03 2009-06-03 东京毅力科创株式会社 气体处理装置和散热方法
KR100508754B1 (ko) * 2003-12-22 2005-08-17 삼성전자주식회사 온도 컨트롤러 및 이를 갖는 식각 장치
US7544251B2 (en) * 2004-10-07 2009-06-09 Applied Materials, Inc. Method and apparatus for controlling temperature of a substrate
US7557328B2 (en) * 2006-09-25 2009-07-07 Tokyo Electron Limited High rate method for stable temperature control of a substrate
JP4564973B2 (ja) * 2007-01-26 2010-10-20 株式会社日立ハイテクノロジーズ プラズマ処理装置
US7649729B2 (en) * 2007-10-12 2010-01-19 Applied Materials, Inc. Electrostatic chuck assembly
JP5210706B2 (ja) * 2008-05-09 2013-06-12 株式会社日立ハイテクノロジーズ プラズマ処理装置及びプラズマ処理方法
US8596336B2 (en) 2008-06-03 2013-12-03 Applied Materials, Inc. Substrate support temperature control
US20100116788A1 (en) 2008-11-12 2010-05-13 Lam Research Corporation Substrate temperature control by using liquid controlled multizone substrate support

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003243492A (ja) * 2003-02-19 2003-08-29 Hitachi High-Technologies Corp ウエハ処理装置とウエハステージ及びウエハ処理方法
US20060118243A1 (en) * 2004-12-02 2006-06-08 Min-Woong Choi Wafer support having cooling passageway for cooling a focus ring in plasma processing equipment
US20070091539A1 (en) * 2005-10-20 2007-04-26 Applied Materials, Inc. Plasma reactor with feed forward thermal control system using a thermal model for accommodating RF power changes or wafer temperature changes

Also Published As

Publication number Publication date
JP6076246B2 (ja) 2017-02-08
WO2011156240A2 (en) 2011-12-15
KR20140071508A (ko) 2014-06-11
KR101475424B1 (ko) 2014-12-22
CN102870503B (zh) 2016-02-24
US8608852B2 (en) 2013-12-17
CN102870503A (zh) 2013-01-09
TW201230166A (en) 2012-07-16
TW201447995A (zh) 2014-12-16
US20110303641A1 (en) 2011-12-15
JP2013535099A (ja) 2013-09-09
TWI622089B (zh) 2018-04-21
KR101737474B1 (ko) 2017-05-18
US20140083978A1 (en) 2014-03-27
KR20130020956A (ko) 2013-03-04
WO2011156240A3 (en) 2012-01-26

Similar Documents

Publication Publication Date Title
TWI456638B (zh) 具有區域依賴性熱效率之溫度受控電漿製程腔室部件
PH12018501544A1 (en) Heat dissipation apparatus and methods for uv-led photoreactors
JP2014534614A5 (zh)
WO2011136974A3 (en) Process chambers having shared resources and methods of use thereof
IN2012DN01366A (zh)
ATE364988T1 (de) Strömungsverteilungseinheit und kühleinheit mit bypass-strömung
JP2013535842A5 (zh)
TW200616139A (en) Method and apparatus for controlling temperature of a substrate
JP2009524244A5 (zh)
WO2016206542A1 (zh) 半导体镀膜设备控温系统
JP2013243267A5 (zh)
CN104878370A (zh) 一种分体式可控温加热盘结构
WO2011094142A3 (en) Apparatus for controlling temperature uniformity of a substrate
CA2914777C (en) Device for signature adaptation and object provided with device for signature adaptation
JP2007010255A5 (zh)
US9310113B2 (en) Thermoelectric heat pump apparatus
EP2062854A4 (en) SILICON TRANSPORT DEVICE AND DEVICE FOR HEATING AND QUICK COOLING OF SILICON THEREFOR
TW200802553A (en) Heating apparatus
TW201419442A (zh) 靜電卡盤
WO2014137550A3 (en) Thermal coupled quartz dome heat sink
JP2020501010A5 (zh)
Okamoto et al. Hotspot liquid microfluidic cooling: Comparing the efficiency between horizontal flow and vertical flow
RU2014146775A (ru) Интегральный электронный модуль с охлаждающей структурой
WO2013190367A3 (en) Cooking device comprising heat exchange means
CN203671128U (zh) 管道保温装置