TWI423330B - 損壞之多重堆疊雙層膜的選擇性移除方法 - Google Patents

損壞之多重堆疊雙層膜的選擇性移除方法 Download PDF

Info

Publication number
TWI423330B
TWI423330B TW096144644A TW96144644A TWI423330B TW I423330 B TWI423330 B TW I423330B TW 096144644 A TW096144644 A TW 096144644A TW 96144644 A TW96144644 A TW 96144644A TW I423330 B TWI423330 B TW I423330B
Authority
TW
Taiwan
Prior art keywords
layer
dielectric film
dielectric
exposing
feature
Prior art date
Application number
TW096144644A
Other languages
English (en)
Other versions
TW200836261A (en
Inventor
Sandra L Hyland
Ian J Brown
Yannick Feurprier
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of TW200836261A publication Critical patent/TW200836261A/zh
Application granted granted Critical
Publication of TWI423330B publication Critical patent/TWI423330B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31058After-treatment of organic layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)

Description

損壞之多重堆疊雙層膜的選擇性移除方法 交互參照之相關申請案:
本申請案係關於2006年3月28日提出之待判決之美國專利申請案第11/390193號,其名稱為「損壞之介電材料之移除方法」(TEA-024);及2006年3月28日提出之待判決之美國專利申請案第11/390197號,其名稱為「圖案化介電膜之方法及系統」(TEA-026)。在此以參考資料方式併入其所揭露之全部內容。
本發明係關於在介電膜上實施移除處理之方法,更有關於在蝕刻、灰化、或是濕式清潔處理之後的在基板上之雙層膜之特徵部中之損壞材料的移除方法。
在半導體相關技術中,已知積體電路(IC)的速度及效能的增加的主要限制來自內部連接的延遲。最小化內部連接延遲的方法之一是藉著在製造IC時使用低介電常數(低k)材料以減少內部連接電容。低k材料亦有助於低溫處理。因此,近年來,低k材料已取代相對較高之介電常數的絕緣材料,例如二氧化矽。更明確而言,低k薄膜用於作為半導體裝置之金屬層之間的中間階層或是內部階層之介電層。此外,為了要再減少絕緣材料之介電常數,材料膜係與孔洞一起形成,亦即,有孔低k介電膜。此種低k膜可以藉由類似於施加光組之旋轉塗佈介電(SOD)法沉積,或是藉由化學氣相沉積(CVD)法沉積。因此,低k材料的使用可輕易適應現行的半導體製造處理。
雖然低k材料對於半導體電路之製造大有可為,但是發明人認為此等薄膜亦有許多挑戰。首先,低k膜比傳統介電層脆弱,所以在晶圓處理中,例如通常用於圖案化介電層之蝕刻及電漿灰化處理中會損壞。更進一步,某些低k膜被損壞時具有高度反應 性,特別是在圖案化之後,藉此使低k材料吸收能夠改變介電層之電特性的水、及/或是與其他蒸氣及/或是處理污物反應。在裝置應用中,這些挑戰會阻礙低k膜的使用。
因此,一實施例是用於移除電子裝置中之薄膜上之損壞層。
另一實施例是在蝕刻處理、灰化處理、或是濕式清潔處理之後移除低介電常數(低k)膜上之損壞層。
又另一實施例是使用乾式非電漿蝕刻處理以在蝕刻處理、灰化處理、或是濕式清潔處理之後移除低k膜上之損壞層。
更另一實施例是在蝕刻處理、灰化處理、或是濕式清潔處理之後移除多重堆疊雙層膜,例如渠溝通孔構造之中的損壞層。
此等及/或是其他實施例可提供基板上之介電膜之處理方法。此方法包含:在處理系統中設置具有介電膜及覆蓋介電膜之頂層的基板,其中,為了要穿過頂層並在介電膜中形成特徵部,轉移圖案至頂層及介電膜,且其中在圖案轉移時,暴露介電膜之表面層於蝕刻電漿中;並在頂層及介電膜上實施乾式非電漿移除處理,以移除介電膜之暴露表面層及頂層之一部份,移除處理包含:暴露介電膜之暴露表面層及頂層之暴露部份於包含HF或是NH3 或是其組合之處理氣體中,以化學改質介電膜之暴露表面層及頂層部分;熱處理已化學改質之介電膜之暴露表面層、及頂層之化學改質部分,以便脫附化學改質表面層及化學改質部分,其中實施乾式非電漿蝕刻實質上可避免在特徵部中形成突出部分。
本發明之另一實施態樣包括在基板上之低k膜中形成特徵部之方法。此方法包括:在基板上形成雙層膜,其中雙層膜包含介電層及覆蓋介電層的頂層;在雙層膜上形成遮罩圖案,遮罩圖案具有相當於將形成於雙層膜中之雙層特徵部之圖案特徵部;及在雙層特徵部上實施乾式非電漿移除處理,以藉由電漿蝕刻處理移除至少一部份損壞或是活化之雙層特徵部之表面層,其中實施乾 式非電漿移除處理實質上可避免在特徵部中形成突出部分。
在以下的說明中,為了要促進通盤了解本發明並為了解釋而非限制的目的設定特定之細節,例如處理系統的特殊幾何參數及其中所使用的不同的內容物及處理之描述。然而,應了解者為,本發明可以用脫離此等特殊細節之其他實施例來實現。
在材料處理方法論中,圖案蝕刻包含施加薄層光感材料(例如光阻)至後續將被圖案化之基板之上表面上,以便在蝕刻中設置轉移此圖案至基板上之底下的薄膜之遮罩。光感材料的圖案化大致上包含暴露光感材料於使用例如微影系統之電磁(EM)照射的幾何圖案,接著,利用顯影溶劑移除光感材料被照射過的區域(在正光阻的情況中)、或是未經照射的區域(在負光阻的材料中)。
此外,多層遮罩及硬遮罩可以用於在薄膜中蝕刻特徵部。舉例而言,當在薄膜中使用硬遮罩蝕刻特徵部,利用在主要蝕刻步驟之前的分開的蝕刻薄膜步驟轉移光感層中的遮罩圖案至硬遮罩層。能從處理矽的幾種材料中選出硬遮罩,例如包含含矽材料或是含碳材料或是其組合,例如二氧化矽(SiO2 )、氮化矽(Si3 N4 )、及碳。再者,形成於薄膜之中的特徵部亦可包含額外之層,例如但不限於:蝕刻停止層、化學機械平坦化(CMP)停止層、頂層等者。
參照圖式,其中相似的參考標號指的是圖中相同的或是相當的部分,圖1A到1G顯示根據一實施例之於介電膜中形成圖案之方法。此方法結合本發明之不同實施態樣,包含使用硬遮罩及處理介電膜中蝕刻圖案之暴露表面以便移除因為介電膜蝕刻處理或是灰化處理造成之介電膜上之損壞層。然而,圖1A-1G的處理實施態樣可以分別使用,如下所述。此外,圖2顯示根據一實施例之實施方法的流程圖200。
如圖1A、1B、及2所示,裝置100包括在步驟210中形成於可能包含或者不包含額外層之基板140之上表面的介電膜130。基板140可為半導體、金屬導體、或是任何其他能使介電膜形成於其上的基板。介電膜具有少於大約4(例如,熱的二氧化矽的介電常數範圍是3.8到3.9)的SiO2 的介電常數。更明確言之,介電膜130具有低於3.0的介電常數,或是1.6到2.7的介電常數範圍。
介電膜130可以使用化學氣相沉積(CVD)技術、或是旋轉塗佈介電(SOD)技術形成,其係由Tokyo Electron Limited (TEL)商業上獲得之Clean Track ACT 8 SOD及ACT 12 SOD塗布系統。Clean Track ACT 8 (200mm)及ACT 12 (300mm)塗布系統提供用於SOD材料之塗布、烘烤、及硬化工具。此軌道系統可用以處理100mm、200mm、300mm或是更大尺寸之基板。在基板上形成介電膜之其他系統及方法亦為熟知旋轉塗布介電技術及CVD介電技術技藝者所已知。
介電膜130是以例如低介電常數(或是低k)為特徵的介電薄膜。介電膜130包括有機、無機、或是無機-有機混合材料或是其中二者或更多之組合。此外,介電膜130可以是有孔的或是無孔的。舉例而言,介電膜可以包含利用CVD技術沉積的無機矽酸鹽基材料,例如氧化有機矽烷(或是有機矽氧烷)。此等薄膜之範例包括從Applied Materials, Inc.商業上可獲得之Black DiamondTM CVD有機矽酸鹽玻璃(OSG)薄膜、或是從Novellus Systems商業上可獲得之CoralTM CVD薄膜。此外,舉例而言,有孔介電膜包括單相材料,例如具有在固化處理會被破壞以形成空隙(或是孔洞)之CH3 鍵結之矽氧化物基基質。此外,舉例而言,有孔介電膜包括雙相材料,例如會在固化處理時被蒸發之具有孔洞之有機材料(起孔洞劑)的矽氧化物基基質。
或者,介電膜130包含利用SOD技術沉積之無機矽酸鹽基材料,例如氫矽酸鹽類(HSQ)、或是甲基矽酸鹽類(MSQ)。此等薄膜之範例包括可從Dow Corning商業上獲得之Fox HSQ、可從 Microelectronics商業上獲得之XLK有孔HSQ、即從JSRMicroelectronics商業上獲得之JSR LKD-5109。又或者是,介電膜130包含利用SOD技術沉積之有機材料。此等薄膜包含從Dow Chemical, FLARETM 商業上獲得之SiLK-I、SiLK-J、SiLK-D、及有孔SiLK半導體介電樹脂、及從Honeywell商業上獲得之NANOGLASS®。
在圖1A-1G的實施例中,只要製備介電膜130之後,馬上在步驟220中在其介電膜之上表面形成一頂層120。頂層120包含例如矽氧化物(SiOx )、矽氮化物(Siy Nz )、及碳或是其組合。舉例而言,頂層120可作為底下的介電膜130之覆蓋層。
之後,在步驟230中,在頂層120之上表面形成圖案化遮罩層110。圖案化遮罩層110包含利用光微影形成於光感材料層(例如光阻)中之光微影圖案112。或者,圖案化遮罩110包含具有抗反射塗布(ARC),例如埋入ARC (BARC)層或是埋於其中之可調式抗蝕刻ARC (TERA)層之雙層遮罩或是多層遮罩。ARC層可以是有機ARC或是無機ARC。又或者,圖案化遮罩110可包含具有在有機平坦化層(OPL)之下的ARC層之下的薄層光阻之多層遮罩。光阻之厚度相對而言很薄,且ARC層之厚度可調至暴露波長,而OPL之厚度是取決於蝕刻處理所需之任意厚度。
舉例而言,可以利用軌道系統或是化學氣相沉積(CVD)系統形成遮罩層(或層),亦即頂層120或是圖案化遮罩層110。軌道系統可用於處理248nm光阻、193nm光阻、157nm光阻、EUV光阻、(上/下)抗反射塗布(TARC/BARC)、及上塗布。舉例而言,軌道系統包含由Tokyo Electron Limited (TEL)商業上獲得之Clean Track ACT 8、或是ACT 12光阻塗布、及顯影系統。在基板上形成介電膜之其他系統及方法亦為熟知旋轉塗布介電技術及CVD介電技術技藝者所已知。此外,舉例而言,遮罩圖案可以使用任何合適的習知步進式微影系統或是掃描式微影系統形成。
用於較小幾何尺寸(亦即45nm、32nm、及其他者)之先進 光微影技術大體上而言是由以下需求所限制:最佳化光微影圖案112至遮罩層110之精確傳達、最小化遮罩層110之厚度以避免圖案破壞、最佳化遮罩層110之組成及厚度以把遮罩層110之圖案精確傳達至底層、及最小化光微影圖案110轉移至底膜之側壁114之線緣粗度(LER)。
傳統上,係利用電漿蝕刻處理轉移光感層之遮罩圖案至底層。因為電漿蝕刻之自然的非等向性,光感層中的圖案能以高精度轉移至底層。在使用多層遮罩之習知處理中,首先使用圖案化光感層以蝕刻下面的遮罩次層,接著同時使用多層遮罩以把圖案蝕刻至介電層。然後,使用例如剝除、灰化、或是濕式清潔處理光感層及/或是其殘餘物。發明人認為把蝕刻過的介電特徵部暴露於光感層移除處理中會損壞介電特徵部及/或是改變介電特徵部中的介電材料之特徵。此種損壞是除了由蝕刻其特徵部造成之損壞以外的損壞。
如圖1C及1D所示,在步驟240中,利用乾蝕刻處理,例如乾式非電漿蝕刻處理或是乾式電漿蝕刻處理使光微影圖案112轉移至頂層120。額外細節詳見於2006年3月28日提出之待判決美國專利申請案第11/390193號,其名稱為「損壞之介電材料之移除方法」(TEA-024);及2006年3月28日提出之待判決之美國專利申請案第11/390197號,其名稱為「圖案化介電膜之方法及系統」(TEA-026)。在此以參考資料方式併入其所揭露之全部內容。
如圖1D所示,一旦遮罩圖案122形成於頂層120中,可在步驟250中,在蝕刻介電層130之前利用濕式或是乾式剝除/灰化處理遮罩層110。優點有例如:因為缺少由介電層蝕刻處理造成之硬化的硬殼,在蝕刻介電層130之前的遮罩層110之移除可以更簡單。此外,例如,當在濕式剝除處理中施加剝除用化學品、或是利用氧化電漿以移除光阻及後蝕刻殘餘之灰化處理中,在蝕刻介電層130之前的遮罩層110之移除可最小化介電層130暴露於濕式清潔處理的部分。先進的(有孔或是無孔)介電材料在暴露於 蝕刻、剝除及/或是灰化處理中會被損壞,因此,先移除光感層可以最小化介電層之損壞。或者,在步驟250中不要移除遮罩層110。舉例而言,遮罩層110可以在轉移圖案至介電層130之後移除。此外,例如,當遮罩層110包含光阻層、ARC層、及OPL,光阻及ARC層會在頂層120及介電層130的蝕刻中消耗。一旦圖案轉移至頂層120及介電層130,就可移除殘餘之OPL。
在步驟260中,如圖1E所示,利用乾式電漿蝕刻使硬遮罩圖案122轉移至介電層130。例如,當蝕刻氧化介電膜時,例如氧化矽、二氧化矽等者,或是當蝕刻無機低k介電膜時,例如氧化有機矽烷,蝕刻氣體之組成大致上是氟化碳基的化學品,例如C4 F8 、C5 F8 、C3 F6 、C4 F6 、CF4 等者至少其中之一,或是碳氫氟基的化學品,例如CHF3 、CH2 F2 等者至少其中之一,及惰性氣體、氧氣、CO至少其中之一。此外,舉例而言,當蝕刻有機低k介電膜時,蝕刻氣體之組成大致上包含含氮氣體及含氫氣體至少其中之一。如稍早所述之選擇性蝕刻介電膜之技術為熟知介電蝕刻處理技藝者廣知者。
在蝕刻或是移除任何殘餘物或是在此二者同時,形成於介電層130中之特徵部132的暴露表面,例如側壁124、124"、及134會被損壞或是被活化。此等表面之損壞或是活化會導致在蝕刻處理中(亦即介電層之乾式蝕刻、或是介電層蝕刻之後的用以移除光微影遮罩之灰化)吸收水或是黏著污物及/或是化學品。舉例而言,在蝕刻處理中,有孔低k介電膜非常有可能被損壞及/或是活化。大致上而言,有孔低k膜大部分通常是氧化矽基之有機矽醇(Si-OH)團及/或是有機團。此等材料會部分因為在蝕刻處理中耗盡有機成分而被活化或是損壞。
無論在哪種情況中,額外的有基矽醇團會暴露於會被輕易吸收的水及或是/其他污物中。因此,在圖案化步驟之後,特別難以處理並維持沒有污物的暴露低k介電層的裝置構造。此外,活化及/或是損壞表體的低k材料會導致介電常數(k值)的增加。已 知活化的或是損壞的低k膜會顯現出1或更多的k值增加。
因此,大致上是使用清潔處理移除損壞的材料。在習知的濕式清潔處理中,基板浸入HF中。然而,如圖3所示,用於移除損壞材料的習知的濕式清潔處理會切除硬遮罩層120,留下硬遮罩層120的側壁124',突出於介電層130中的凹陷側壁134'。由習知的清潔處理造成的突出之硬遮罩層120下方的介電層切除部分會設有特徵部132',使接下來的填充步驟或是金屬化步驟增加挑戰。舉例而言,特徵部132'會使表體金屬化難以填充突出部下方之空隙,造成頂層120與介電層130相會(若頂層120有留下)之角落的空隙,或是金屬/介電介面的凹口(若以CMP移除頂層120)。相似地,在金屬化填充之前施加保角擴散障壁層及/或是種子層於特徵部,此等層就不能夠被適當地施加在頂層120與介電層130相會之角落。
根據一實施例,在步驟270中,利用乾式非電漿蝕刻處理(在例如蝕刻處理或是灰化處理之後)移除損壞的暴露表面134,如圖1F及1G所示。應注意者為,乾式非電漿蝕刻處理包含用以處理控制之自身限制特徵部,其能最小化被移除之介電層130中之特徵部132"之側壁量。此外,當乾式非電漿蝕刻處理移除介電層130之損壞之暴露表面,此處理亦藉由拉回實際上與頂層120相等的量,以使頂層120之突出部幾乎不見,或是減少任何可見的突出部。更進一步,既然移除損壞之材料會使特徵部132"之臨界尺寸(CD)增加,在原本圖案(亦即,光微影圖案112)的一實施例中,可以在介電層130中選擇比設計的特徵部132"之CD更小的CD,以補償之後的損壞表面區域之移除。
乾式非電漿蝕刻處理包含化學處理,其中介電層130之暴露表面及頂層120之邊緣部分係以包含HF、氨氣(NH3 )、或是HF及NH3 的處理氣體化學處理,如圖1F所示。在化學處理製程之後,為了要移除化學改質之表面層,實施脫附處理,如圖1G所示。脫附包含熱處理製程,其中基板之溫度被升高至足以容許化學改質 表面層揮發的高溫。利用乾式非電漿蝕刻處理可以實質上移除特徵部132"中的側壁134"之損壞材料,並為了要避免在特徵部132"中形成突出部,回蝕頂層120之邊緣部分。
在化學處理製程中,處理氣體之各個構成可以一起引入(亦即,混合),或是分別引入(亦即分別引入HF及NH3 )。此外,處理氣體更包含惰性氣體,例如稀有氣體(亦即,氬)。惰性氣體可以與HF或NH3 一起引入,或是可以與上述構成氣體分別引入。為了要控制化學改質之介電膜的表面層之更詳細的細節見於待判決之美國專利申請案第10/812347號,其名稱為「處理基板之處理系統及方法」,且在此以參考資料方式併入其所揭露之內容。
此外,在化學處理製程中,可以選擇處理壓力以便影響介電膜的表面層之化學改質範圍。處理壓力範圍可以從大約1mTorr到大約100torr。更進一步,在化學處理製程中,可以選擇基板溫度以便影響介電膜的表面層之化學改質範圍。基板溫度範圍可以從大約10℃到大約200℃。有關設定基板溫度以便控制介電膜的表面層之化學改質範圍的細節見於待判決诶國專利申請案第10/817417號,其名稱為「實施化學氧化物移除處理之方法及系統」,在此以參考資料方式併入其所揭露之全部內容。
在熱處理製程中,可以升高基板溫度至50℃以上,或者,所欲者為100℃以上。此外,可以在基板熱處理製程中引入惰性氣體。惰性氣體包含稀有氣體或是氮氣。
現在參照圖4A及4B,其描繪出渠溝通孔內連接構造900。構造900包含第一介電層940、第一頂層930、第二介電層920、及第二頂層910。其中,為了要製造通孔970及渠溝980,實施一系列的蝕刻處理,在後續的步驟中金屬化通孔970及渠溝980時,其容許電性內連接將形成於渠溝980之第二金屬線、及第一介電層940中之第一金屬線950。第一及第二介電層920、940包含低介電常數(低k)材料。第一及第二頂層910、930包含SiOx。在一系列的蝕刻處理中,第二介電層920的損壞會造成損壞的次層 990。
如圖4B所示,當利用習知的技術移除損壞的次層990以製造實際上沒有損壞的通孔970'及渠溝980'時,第二頂層910被切除下部,因此形成突出部992。突出部992會造成金屬化渠溝通孔構造900的困難。
參照圖5A到5C,其描繪出渠溝通孔內連接構造900"。根據一實施例,如圖5B及5C所示,利用如上述之乾式非電漿處理從渠溝通孔構造900移除損壞之次層990。第二介電層920及頂層910之表面層994藉由包含HF、氨氣(NH3 )、或是HF及NH3 的處理氣體化學處理。在化學處理製程之後,為了要移除化學改質之表面層994,實施脫附處理。脫附包含熱處理製程,其中基板之溫度被升高至足以容許化學改質表面層揮發的高溫。使用乾式非電漿蝕刻處理可以在介電層920中製成具有減少的側壁損壞之通孔970"及渠溝980"。
根據一實施例,圖6A顯示實施基板上之乾式非電漿移除處理之處理系統400。處理系統400包含第一處理系統410及耦合於第一處理系統410之第二處理系統420。舉例而言,第一處理系統410包含化學處理系統,且第二處理系統420包含基板清洗系統,例如水洗系統。
又,如圖6A所示,傳送系統430耦合於第一處理系統410以便把基板移進移出第一處理系統410及第二處理系統420'並與多重元件製造系統440交換基板。舉例而言,第一及第二處理系統410、420、及傳送系統430可以包含多重元件製造系統440中之處理元件。例如,多重元件製造系統440容許基板在包含蝕刻系統裝置、沉積系統裝置、塗布系統裝置、圖案化系統裝置、量測系統裝置等者之處理元件之間傳送。為了要隔絕發生在第一及第二系統中之處理,利用隔絕組件450耦合各個系統。例如,隔絕組件450可包含提供熱隔絕之熱隔絕組件、及提供真空隔絕之閘閥門構件至少其中之一。當然,處理系統410、420、及傳送系 統430可以是以任何順序擺放。
或者,在另一實施例中,圖6B顯示在基板上實施乾式非電漿移除處理之處理系統500。處理系統500包含第一處理系統510及第二處理系統520。舉例而言,第一處理系統510包含化學處理系統,而第二處理系統520包含熱處理系統。或者,第二處理系統520包含基板清洗系統,例如水洗系統。
又,如圖6B所示,傳送系統530耦合於第一處理系統510以便把基板移進移出第一處理系統510,並可耦合於第二處理系統520以便把基板移進移出第二處理系統520。此外,傳送系統530可以與一或更多個基板匣(未顯示)交換基板。儘管圖6B只顯示兩個處理系統,其他處理系統仍可存取包含例如蝕刻系統裝置、沉積系統裝置、塗布系統裝置、圖案化系統裝置、量測系統裝置等者之傳送系統530為了要隔絕發生在第一及第二系統中之處理,利用隔絕組件550耦合各個系統。例如,隔絕組件550可包含提供熱隔絕之熱隔絕組件、及提供真空隔絕之閘閥門構件至少其中之一。此外,舉例而言,傳送系統530可作為隔絕組件550之一部份。
或者,在另一實施例中,圖6C顯示在基板上實施乾式非電漿移除處理之處理系統600。處理系統600包含第一處理系統610及第二處理系統620。舉例而言,第一處理系統610包含化學處理系統,而第二處理系統620包含熱處理系統。或者,第二處理系統620包含基板清洗系統,例如水洗系統。
又,如圖6C所示,傳送系統630耦合於第一處理系統610以便把基板移進移出第一處理系統610,並可耦合於第二處理系統620以便把基板移進移出第二處理系統620。此外,傳送系統630可以與一或更多個基板盒(未顯示)交換基板。儘管圖6C只顯示兩個處理系統,其他處理系統仍可存取包含例如蝕刻系統裝置、沉積系統裝置、塗布系統裝置、圖案化系統裝置、量測系統裝置等者之傳送系統630。為了要隔絕發生在第一及第二系統中之處 理,利用隔絕組件650耦合各個系統。例如,隔絕組件650可包含提供熱隔絕之熱隔絕組件、及提供真空隔絕閘閥門構件至少其中之一。此外,舉例而言,傳送系統630可作為隔絕組件650之一部份。
如圖7所示,化學處理系統710包含實際上與化學處理腔室711熱隔絕並用以支撐基板742之溫度控制基板固持器740、耦合於化學處理腔室711以排空化學處理腔室711之真空幫浦系統750、及通過傳送開口794引入處理氣體進入化學處理腔室711處理空間762之氣體分布系統760。基板742可通過傳送開口794移進移出化學處理腔室711。
此外,化學處理系統710包含耦合於腔室溫度控制系統768之腔室溫度控制元件766。腔室溫度控制元件766包含加熱單元或是冷卻單元或是此二者。更進一步,化學處理系統710包含耦合於氣體分布溫度控制系統769之氣體分布溫度控制元件767。氣體分布溫度控制元件767包含加熱單元或是冷卻單元或是此二者。
如圖7所示,化學處理系統710更包含具有基板固持器組件744之基板固持器740。基板固持器組件744提供數個操作功用以熱控制及處理基板742。舉例而言,基板固持器740及基板固持器組件744可包含或是不包含基板挾持系統(亦即,電性或是機械挾持系統)、加熱系統、冷卻系統、基板背側氣體供應系統,用以增加在基板742及基板固持器740等者之間的熱量傳導。
仍然參照圖7,控制器735可以耦合於基板固持器組件744、氣體分布系統760、真空幫浦系統750、腔室溫度控制系統768、及氣體分布溫度控制系統769。控制器735包含微處理器、記憶體、及能夠產生足以傳達並啟動輸入化學處理系統710的控制電壓、及監控化學處理系統710之輸出的數位I/O埠。
關於化學處理系統710之更多細節詳述於美國專利第6951821 A1號,其名稱為「化學處理基板之處理系統及方法」;在此以參考資料方式併入其所揭露之內容。
如圖8所示,熱處理系統820更包含安裝於熱處理腔室821之中並用於實質熱隔絕熱處理系統821並固定基板842'之溫度控制基板固持器820、用以排空熱處理腔室821之真空幫浦系統880、及耦合於熱處理腔室821之基板升高組件890。升高組件890可以在固定平面(實線)及基板固持器870(虛線)、或是位於其間的傳送平面之間垂直調動基板842"。熱處理腔室821更包含在熱處理基板842'時引入處理氣體,例如清洗氣體之上組件884。基板842'(或是842")可以通過傳送開口898移進移出化學處理腔室821。
此外,熱處理系統820包含耦合於腔室溫度控制系統881之腔室溫度控制元件883。腔室溫度控制元件883包含加熱單元或是冷卻單元或是此二者。更進一步,熱處理系統820包含耦合於上組件溫度控制系統886之上組件溫度控制元件885。上組件溫度控制元件885包含加熱單元或是冷卻單元或是此二者。
如圖8所示,熱處理系統820包含具有基板固持器溫度控制系統878之基板固持器溫度控制元件876。基板固持器溫度控制元件876包含加熱元件,例如阻式加熱元件。更進一步,例如,基板固持器870可包含或是不包含基板挾持系統(亦即,電性或是機械挾持系統)、額外的加熱系統、冷卻系統、基板背側氣體供應系統,用以增加在基板742及基板固持器740等者之間的熱量傳導。
仍然參照圖8,控制器875可耦合於上組件884、真空幫浦系統880、腔室溫度控制系統881、上組件溫度控制系統886、基板固持器溫度控制系統878、及基板升高組件890。控制器875包含微處理器、記憶體、及能夠產生足以傳達並啟動輸入熱處理系統820的控制電壓、及監控熱處理系統820之輸出的數位I/O埠。
關於熱處理系統820之更多細節見於待判決美國專利申請案第10/704969號,其名稱為「熱處理基板之處理系統及方法」;在此以參考資料方式併入其所揭露之全部內容。
即使以上已描述本發明之例示性實施例之細節,但熟知本技藝者當可知,在不脫離本發明之新穎教示及優點的範圍之內仍具有其他許多種改型。
100‧‧‧裝置
110‧‧‧圖案化遮罩層
112‧‧‧光微影圖案
114‧‧‧側壁
120‧‧‧頂層
122‧‧‧遮罩圖案
124‧‧‧側壁
124'‧‧‧側壁
124"‧‧‧側壁
130‧‧‧介電膜
132‧‧‧特徵部
132'‧‧‧特徵部
132"‧‧‧特徵部
134‧‧‧側壁
134'‧‧‧側壁
134"‧‧‧側壁
140‧‧‧基板
200‧‧‧流程圖
400‧‧‧處理系統
410‧‧‧第一處理系統
420‧‧‧第二處理系統
430‧‧‧傳送系統
440‧‧‧多重元件製造系統
450‧‧‧隔絕組件
500‧‧‧處理系統
510‧‧‧第一處理系統
520‧‧‧第二處理系統
530‧‧‧傳送系統
550‧‧‧隔絕組件
600‧‧‧處理系統
610‧‧‧第一處理系統
620‧‧‧第二處理系統
630‧‧‧傳送系統
650‧‧‧隔絕組件
710‧‧‧化學處理系統
711‧‧‧化學處理腔室
735‧‧‧控制器
740‧‧‧基板固持器
742‧‧‧基板器組件
750‧‧‧真空幫浦系統
760‧‧‧分布系統
762‧‧‧處理空間
766‧‧‧腔室溫度控制元件
767‧‧‧氣體分布溫度控制元件
768‧‧‧腔室溫度控制系統
769‧‧‧氣體分布溫度控制系統
794‧‧‧傳送開口
820‧‧‧熱處理系統
821‧‧‧熱處理腔室
842'‧‧‧基板
842"‧‧‧基板
870‧‧‧基板固持器
875‧‧‧控制器
876‧‧‧基板固持器溫度控制元件
878‧‧‧基板固持器溫度控制系統
880‧‧‧真空幫浦系統
881‧‧‧腔室溫度控制系統
883‧‧‧腔室溫度控制元件
884‧‧‧上組件
885‧‧‧上組件溫度控制元件
886‧‧‧上組件溫度控制系統
890‧‧‧基板升高組件
898‧‧‧傳送開口
900‧‧‧構造
900"‧‧‧構造
910‧‧‧頂層
920‧‧‧第一介電層
930‧‧‧頂層
940‧‧‧第二介電層
950‧‧‧第一金屬線
970‧‧‧通孔
970'‧‧‧通孔
970"‧‧‧通孔
980‧‧‧渠溝
980'‧‧‧渠溝
980"‧‧‧渠溝
990‧‧‧次層
992‧‧‧突出部
994‧‧‧表面層
在附圖中:圖1A到1G繪出根據一實施例處理基板上之一雙層膜之例示性順序;圖2顯示根據一實施例之基板處理方法;圖3顯示具有突出部分之基板上之雙層膜;圖4A及4B繪出在背端線(BEOL)中間/內部連接構造中形成渠溝或是通孔時,處理基板之例示性順序;圖5A、5B、及5C繪出根據另一實施例,在背端線(BEOL)中間/內部連接構造中形成渠溝或是通孔時,處理基板之例示性順序;圖6A到6C繪出根據本發明之另一實施例之處理系統之概略表示;圖7顯示根據本發明之另一實施例之化學處理系統;及圖8顯示根據本發明之另一實施例之熱處理系統。
200‧‧‧流程圖
210‧‧‧在基板上形成介電層
220‧‧‧在介電層上形成硬遮罩層
230‧‧‧形成圖案化光微影遮罩
240‧‧‧轉移圖案至硬遮罩層
250‧‧‧移除圖案化之光微影遮罩
260‧‧‧轉移圖案至介電層
270‧‧‧移除介電層上之損壞表面層

Claims (29)

  1. 一種介電膜之處理方法,用以處理一基板上之一介電膜,包含:基板設置步驟,於一處理系統中設置具有該介電膜及覆蓋該介電膜之一頂層的該基板;其中,為了要通過該頂層並在該介電膜之內形成一特徵部,而將一圖案轉移至該頂層及該介電膜;且其中,在轉移圖案時,將該介電膜之一表面層暴露至蝕刻電漿;及乾式非電漿移除處理實施步驟,於該頂層及該介電膜之上實施一乾式非電漿移除處理,以便移除該介電膜之一暴露表面層及該頂層之一部份,該乾式非電漿移除處理包含:暴露步驟,將該介電膜之該暴露表面層及該頂層之該部分暴露至包含HF或是NH3 或是其組合之一處理氣體,以便化學改質該介電膜之該暴露表面層及該頂層之該部分;及熱處理步驟,熱處理該介電膜之該化學改質暴露表面層及該頂層之該化學改質部分,以便脫附該化學改質暴露表面層及該化學改質部分,其中,實施該乾式非電漿移除處理實質上避免在該特徵部中形成突出部,且該頂層包含矽氧化物層、矽氮化物層、或碳層。
  2. 如申請專利範圍第1項之介電膜之處理方法,其中該暴露步驟更包含暴露具有介電常數範圍在1.6至2.7之一介電膜之一表面層。
  3. 如申請專利範圍第1項之介電膜之處理方法,其中該暴露步驟更包含暴露一有孔介電膜或是一無孔介電膜或是其組合之一表面層。
  4. 如申請專利範圍第1項之介電膜之處理方法,其中該暴露步驟更包含暴露包含一單相材料或是一雙相材料或是其組合之一有孔介電膜之一表面層。
  5. 如申請專利範圍第1項之介電膜之處理方法,其中該暴露步驟 更包含暴露包含一有機材料或是一無機材料或是其組合之一膜之一表面層。
  6. 如申請專利範圍第5項之介電膜之處理方法,其中該暴露步驟更包含暴露包含一無機-有機混合材料之一膜之一表面層。
  7. 如申請專利範圍第5項之介電膜之處理方法,其中該暴露步驟更包含暴露包含氧化有機矽烷之一膜之一表面層。
  8. 如申請專利範圍第5項之介電膜之處理方法,其中該暴露步驟更包含暴露包含氫矽酸鹽類或是甲基矽酸鹽類或是其組合之一膜之一表面層。
  9. 如申請專利範圍第5項之介電膜之處理方法,其中該暴露步驟更包含暴露包含一矽酸鹽基材料之一膜之一表面層。
  10. 如申請專利範圍第5項之介電膜之處理方法,其中該暴露步驟更包含暴露包含矽、碳、及氧氣的一集合膜之一表面層。
  11. 如申請專利範圍第10項之介電膜之處理方法,其中該暴露步驟更包含暴露更包含氫氣之該集合膜之一表面層。
  12. 如申請專利範圍第1項之介電膜之處理方法,其中該暴露步驟係實施於大約1mTorr到大約100torr的處理壓力範圍。
  13. 如申請專利範圍第1項之介電膜之處理方法,其中該暴露步驟係於該基板之溫度在大約10℃到大約200℃時實施。
  14. 如申請專利範圍第1項之介電膜之處理方法,其中該暴露步驟更包含暴露該基板於更包含惰性氣體之處理氣體中。
  15. 如申請專利範圍第14項之介電膜之處理方法,其中該暴露步驟更包含暴露該基板於更包含稀有氣體之處理氣體中。
  16. 如申請專利範圍第1項之介電膜之處理方法,其中該熱處理步驟更包含升高該基板之溫度至大約50℃以上。
  17. 如申請專利範圍第1項之介電膜之處理方法,其中該熱處理步驟更包含升高該基板之溫度至大約100℃以上。
  18. 如申請專利範圍第17項之介電膜之處理方法,其中該熱處理步驟係實施於引入惰性氣體時。
  19. 如申請專利範圍第18項之介電膜之處理方法,其中該引入更包含引入氮氣。
  20. 如申請專利範圍第1項之介電膜之處理方法,其中暴露該介電膜之該暴露表面層及該頂層之該部分至處理氣體中之該暴露步驟,包含暴露該介電膜之該暴露表面層及該頂層之該部分於包含HF及NH3 的處理氣體。
  21. 如申請專利範圍第1項之介電膜之處理方法,其中該圖案更包含一渠溝或是一通孔或是其組合。
  22. 如申請專利範圍第1項之介電膜之處理方法,其中該圖案被修正成小於該特徵部之一所欲臨界尺寸,以補償該介電膜之該暴露表面層及該頂層之該部分的移除。
  23. 一種特徵部形成方法,用以在形成於一基板上之一雙層膜中形成特徵部,包含:在該基板上形成該雙層膜,其中該雙層膜包含一介電層及覆蓋該介電層之一頂層;在該雙層膜上形成一遮罩圖案,該遮罩圖案具有和即將形成於該雙層膜中的一雙層特徵部相對應之一圖案特徵部;利用一乾式電漿蝕刻處理蝕刻該雙層膜中之該雙層特徵部;及在該雙層特徵部上實施一乾式非電漿移除處理,以便將已發生被該電漿蝕刻處理所損壞或是活化中至少一種情形的該雙層特徵部之一表面層予以移除,其中,該實施該乾式非電漿移除處理實質上避免在該雙層特徵部中形成一突出部,其中該實施該乾式非電漿移除處理,將該頂層中之該雙層特徵部之臨界尺寸與該介電層中之該雙層特徵部之臨界尺寸,維持成實質上相同。
  24. 如申請專利範圍第23項之特徵部形成方法,其中該雙層特徵部更包含一內連接通孔、或一配線渠溝、或其組合,至少其中之一。
  25. 如申請專利範圍第23項之特徵部形成方法,其中該實施一乾式非電漿移除處理包含:將該雙層特徵部上之一表面層暴露於包含HF或是NH3 或是其組合之處理氣體中,以便化學改質該表面層;及熱處理該經化學改質的表面層,以便脫附該經化學改質的表面層。
  26. 如申請專利範圍第25項之特徵部形成方法,其中該暴露該表面層之步驟,更包含將該表面層暴露於包含HF及NH3 的處理氣體中。
  27. 如申請專利範圍第23項之特徵部形成方法,其中該圖案特徵部被修正成小於該雙層特徵部之一所欲臨界尺寸,以補償該雙層特徵部之該表面層之移除。
  28. 一種半導體裝置,包含:一基板;一介電層,形成於該基板上;一頂層,形成於該介電層上;一特徵部,被蝕刻穿過該頂層及該介電層之至少一部份而形成,該特徵部在該頂層及該介電層中產生一側壁;及保角塗布包含該側壁之該特徵部的一擴散障壁及一種子層之至少其中之一,其中,該頂層之該側壁係與該介電層之該側壁位於實質上同一平面上。
  29. 如申請專利範圍第28項之半導體裝置,更包含:表體金屬,填充於該特徵部以使該頂層及該介電層之間之一介面處實質上無空隙。
TW096144644A 2006-12-15 2007-11-23 損壞之多重堆疊雙層膜的選擇性移除方法 TWI423330B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/611,611 US7723237B2 (en) 2006-12-15 2006-12-15 Method for selective removal of damaged multi-stack bilayer films

Publications (2)

Publication Number Publication Date
TW200836261A TW200836261A (en) 2008-09-01
TWI423330B true TWI423330B (zh) 2014-01-11

Family

ID=39526154

Family Applications (1)

Application Number Title Priority Date Filing Date
TW096144644A TWI423330B (zh) 2006-12-15 2007-11-23 損壞之多重堆疊雙層膜的選擇性移除方法

Country Status (3)

Country Link
US (1) US7723237B2 (zh)
TW (1) TWI423330B (zh)
WO (1) WO2008076663A1 (zh)

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4939864B2 (ja) * 2006-07-25 2012-05-30 東京エレクトロン株式会社 ガス供給装置、ガス供給方法、薄膜形成装置の洗浄方法、薄膜形成方法及び薄膜形成装置
US20080286978A1 (en) * 2007-05-17 2008-11-20 Rong Chen Etching and passivating for high aspect ratio features
US8092861B2 (en) * 2007-09-05 2012-01-10 United Microelectronics Corp. Method of fabricating an ultra dielectric constant (K) dielectric layer
US8202803B2 (en) * 2009-12-11 2012-06-19 Tokyo Electron Limited Method to remove capping layer of insulation dielectric in interconnect structures
US20120199980A1 (en) * 2011-02-07 2012-08-09 Globalfoundries Inc. Integrated circuits having interconnect structures and methods for fabricating integrated circuits having interconnect structures
KR20130010362A (ko) * 2011-07-18 2013-01-28 삼성전자주식회사 반도체 장치의 제조방법
US8592327B2 (en) 2012-03-07 2013-11-26 Tokyo Electron Limited Formation of SiOCl-containing layer on exposed low-k surfaces to reduce low-k damage
US8551877B2 (en) 2012-03-07 2013-10-08 Tokyo Electron Limited Sidewall and chamfer protection during hard mask removal for interconnect patterning
US8809194B2 (en) 2012-03-07 2014-08-19 Tokyo Electron Limited Formation of SiOCl-containing layer on spacer sidewalls to prevent CD loss during spacer etch
US8859430B2 (en) 2012-06-22 2014-10-14 Tokyo Electron Limited Sidewall protection of low-K material during etching and ashing
US8871639B2 (en) * 2013-01-04 2014-10-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US9093387B1 (en) * 2014-01-08 2015-07-28 International Business Machines Corporation Metallic mask patterning process for minimizing collateral etch of an underlayer
TWI610361B (zh) 2015-06-26 2018-01-01 東京威力科創股份有限公司 具有可控制的含矽抗反射塗層或矽氮氧化物相對於不同薄膜或遮罩之蝕刻選擇性的氣相蝕刻
US9837314B2 (en) * 2016-02-02 2017-12-05 Tokyo Electron Limited Self-alignment of metal and via using selective deposition
US10217626B1 (en) * 2017-12-15 2019-02-26 Mattson Technology, Inc. Surface treatment of substrates using passivation layers
TW202236406A (zh) * 2021-01-26 2022-09-16 日商東京威力科創股份有限公司 基板處理方法、零件處理方法及基板處理裝置

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050077597A1 (en) * 2003-10-10 2005-04-14 Tokyo Electron Limited Method and system for treating a dielectric film
US20060019491A1 (en) * 2004-07-23 2006-01-26 Nec Electronics Corporation Method for manufacturing a semiconductor device
US20060194435A1 (en) * 2005-02-14 2006-08-31 Tokyo Electron Limited Method of processing substrate, and method of and program for manufacturing electronic device

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7041224B2 (en) * 1999-10-26 2006-05-09 Reflectivity, Inc. Method for vapor phase etching of silicon
US7285474B2 (en) * 2004-09-16 2007-10-23 International Business Machines Corporation Air-gap insulated interconnections
US7160756B2 (en) * 2004-10-12 2007-01-09 Agency For Science, Techology And Research Polymer encapsulated dicing lane (PEDL) technology for Cu/low/ultra-low k devices
US7189650B2 (en) * 2004-11-12 2007-03-13 Taiwan Semiconductor Manufacturing Co., Ltd. Method and apparatus for copper film quality enhancement with two-step deposition

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050077597A1 (en) * 2003-10-10 2005-04-14 Tokyo Electron Limited Method and system for treating a dielectric film
US20060019491A1 (en) * 2004-07-23 2006-01-26 Nec Electronics Corporation Method for manufacturing a semiconductor device
US20060194435A1 (en) * 2005-02-14 2006-08-31 Tokyo Electron Limited Method of processing substrate, and method of and program for manufacturing electronic device

Also Published As

Publication number Publication date
TW200836261A (en) 2008-09-01
US7723237B2 (en) 2010-05-25
US20080142988A1 (en) 2008-06-19
WO2008076663A1 (en) 2008-06-26

Similar Documents

Publication Publication Date Title
TWI423330B (zh) 損壞之多重堆疊雙層膜的選擇性移除方法
TWI488239B (zh) 互連線結構中之絕緣介電質的覆蓋層之移除方法
TWI385728B (zh) 受損介電材料之移除方法
US7611986B2 (en) Dual damascene patterning method
TWI605518B (zh) 積體電路結構及其製造方法
US7541200B1 (en) Treatment of low k films with a silylating agent for damage repair
US7378350B2 (en) Formation of low resistance via contacts in interconnect structures
US7268071B2 (en) Dual damascene interconnections having low K layer with reduced damage arising from photoresist stripping
KR100849573B1 (ko) 오가노실리케이트층의 증착방법
JP2005072384A (ja) 電子デバイスの製造方法
US7288483B1 (en) Method and system for patterning a dielectric film
EP1180554A2 (en) Method of depositing organosilicate layers
US7718543B2 (en) Two step etching of a bottom anti-reflective coating layer in dual damascene application
KR20030079132A (ko) 금속간 절연막 패턴 및 그 형성 방법
EP1646083B1 (en) Alternative dual damascene patterning approach
KR101138082B1 (ko) 반도체 소자의 듀얼 다마신 패턴 형성방법
JP2006510205A (ja) 半導体セルの接触不良(contactdefect)を減らす方法とシステム
KR20090044855A (ko) 반도체 소자의 제조방법
KR20060113276A (ko) 듀얼 다마신 공정을 이용한 비아홀 형성방법
Yahiro et al. Method of depositing a reflow SiO 2 film