TWI404137B - 具有減少聚合物沉積特徵之電漿侷限環總成 - Google Patents

具有減少聚合物沉積特徵之電漿侷限環總成 Download PDF

Info

Publication number
TWI404137B
TWI404137B TW095109245A TW95109245A TWI404137B TW I404137 B TWI404137 B TW I404137B TW 095109245 A TW095109245 A TW 095109245A TW 95109245 A TW95109245 A TW 95109245A TW I404137 B TWI404137 B TW I404137B
Authority
TW
Taiwan
Prior art keywords
plasma
ring
plasma confinement
ring assembly
rings
Prior art date
Application number
TW095109245A
Other languages
English (en)
Other versions
TW200644114A (en
Inventor
Rajinder Dhindsa
Felix Kozakevich
James H Rogers
David Trussell
Original Assignee
Lam Res Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Res Corp filed Critical Lam Res Corp
Publication of TW200644114A publication Critical patent/TW200644114A/zh
Application granted granted Critical
Publication of TWI404137B publication Critical patent/TWI404137B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S156/00Adhesive bonding and miscellaneous chemical manufacture
    • Y10S156/914Differential etching apparatus including particular materials of construction
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S156/00Adhesive bonding and miscellaneous chemical manufacture
    • Y10S156/915Differential etching apparatus including focus ring surrounding a wafer for plasma apparatus

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Coating By Spraying Or Casting (AREA)

Description

具有減少聚合物沉積特徵之電漿侷限環總成
本發明係關於一種具有減少聚合物沉積特徵之電漿侷限環總成。
電漿處理腔室可包括一上電極及一下電極。在電漿處理期間,該上電極通常面對一適於支撐一半導體基板之基板支撐體。在該電漿處理期間,供應功率給一個或兩個電極以啟動處理氣體及產生電漿來處理該基板。
電漿蝕刻可在電漿處理腔室中執行以蝕刻在一半導體基板上提供作為層之選定材料。選擇處理條件以使電漿在該等層之選定部分蝕刻出所要的形態。
一用於一電漿處理腔室之電漿侷限環總成之較佳實施例包含複數個電漿侷限環。每一電漿侷限環包括一具有一電漿暴露內徑表面之內部,一自內部朝外徑向安置之外部,及至少一個熱阻器。該熱阻器適於當在電漿處理腔室中內徑表面暴露於電漿中時,減少自該內部至該外部之熱傳導。結果,該內徑表面達到一足夠高的溫度從而大體上防止聚合物沉積其上。
一用於一電漿處理腔室之電漿侷限環總成之另一較佳實施例包含一安裝環及適於懸掛在該安裝環下方之複數個電漿侷限環。該等電漿侷限環包含一上電漿侷限環及複數個下電漿侷限環。該上電漿侷限環包括一面對該安裝環之頂面及一自該等第二電漿侷限環朝內徑向安置之電漿暴露底面。該上電漿侷限環之頂面之至少一部分對IR輻射不透明以便增強加熱該上電漿侷限環。結果,當該底面暴露於電漿中時,該底面到達一足夠高的溫度從而大體上防止聚合物沉積其上。
一在一電漿處理腔室中處理一半導體基板之方法之一較佳實施例包含供應一處理氣體至一包含複數個電漿侷限環之電漿處理腔室,每一該等電漿侷限環均包括一電漿暴露表面;及自該處理氣體產生一電漿並在該電漿處理腔室中蝕刻一半導體基板。在該蝕刻過程中,該等電漿侷限環之電漿暴露表面達到一足夠高的溫度從而大體上防止聚合物沉積其上。
諸如電容式耦合腔室之平行板電漿處理腔室,包括一諸如一蓮蓬頭式電極之上電極,及一下電極。該上電極通常面對一即將處理之半導體基板。在該電漿處理中,供應功率給一個或兩個電極以啟動該處理氣體並產生電漿以處理該基板。
該等電漿處理腔室之內表面可為動力表面(如,"RF熱表面")、接地表面或浮動表面(包含絕緣材料)。在電漿處理過程中,不同能量供應或撞擊至該等不同類型之表面。特定言之,在一平行板電漿處理腔室中一腔室部分的加熱取決於供應給該部分之暴露表面的離子能量及離子通量,及該部分之紅外(IR)能量吸收特徵。接地(回程通路)表面及動力表面接收來自電漿的大量離子能量,導致該等表面加熱程度大於浮動部分或表面,及亦達到顯著不同的溫度。
諸如含有碳氟化合物、氫氟碳化物或該等氣體的前驅物之處理氣體的高聚合處理氣體化學可用於蝕刻諸如氧化矽之介電材料。在該等電漿蝕刻處理中,聚合物傾向於沉積在該電漿處理腔室之某些內表面上。聚合物沉積物係不需要的,因為其可自該等表面剝落及污染經處理之的基板(如經處理之晶圓),亦及該腔室。然而,隨著設備形態持續縮減,變得日益需要維持電漿暴露腔室表面(自晶圓至晶圓)乾淨以達成可重複性處理結果。因此,需要減少及較佳地避免在腔室部分之內表面上之該等聚合物沉積物。
通常,在電漿處理操作中,聚合物沉積物更可能形成於該電漿處理腔室之較冷的電漿暴露表面。與動力或接地表面相比,浮動表面傾向於成為較冷的表面及因此通常在其上更傾向於出現聚合物堆積。根據下列關係式:Q=mc△T,在電漿處理中,一電漿暴露部分或表面之溫度增量(△T)取決於添加至該部分或表面之熱量(Q),及質量(m),及該部分之比熱(c)。因此,對一給定的添加至某部分的熱量而言,增加該部分的質量將降低該部分溫度的增加。因此,在電漿處理中,具有一高質量之某部分可能不達到一足夠高的溫度以避免聚合物沉積於該部分的電漿暴露表面。對一給定的添加至某部分之熱量而言,增加該部分的熱容量亦能降低該部分達到之溫度。
另外,在電漿處理中,熱量可由熱傳導(當該部分與另一表面實體接觸時)、輻射(當電磁波傳送熱量至該部分及/或自該部分傳送熱量)及對流(當熱量在該腔室內由一移動流體傳送時)轉移至及/或自一部分轉移至其他腔室表面。自該等部分之對流熱量之損失隨著腔室壓力的增加而增加。
電漿處理腔室中聚合物沉積於某些部分之電漿暴露表面的問題可藉由主動加熱該(等)部分來處理。例如,腔室壁可經加熱而保持其電漿暴露內表面處於一足夠高的溫度下以避免聚合物沉積於該(等)表面上。亦能使用蓮蓬頭式電極總成及靜電卡盤之主動式溫度控制。然而,因為該等表面係動力的或接地的,且隨後經受高離子能量,所以即使不主動加熱該等表面,聚合物沉積發生於該等表面上的可能性亦比發生於浮動表面的可能性更小。
或者,該聚合物沉積問題能藉由自表面移除如此形成之聚合物沉積物來處理。例如,可藉由使用侵蝕性電漿化學移除聚合物沉積物。或者,可打開該電漿腔室並可使用一濕式清潔技術自腔室表面移除聚合物沉積物。然而,該等清潔技術減少處理產量。
為了達成所要的處理效率及蝕刻均一性,可限制電漿在一界定於一平行板電漿處理腔室之上電極與下電極之間的電漿侷限區域內。電漿侷限環總成可用於提供該電漿侷限。典型的電漿侷限環總成揭示於共同擁有之美國專利第5,534,751、5,998,932、6,019,060、6,178.919及6,527,911號中,每一該等專利之整體以引用之方式併入本文。如在美國專利第5,534,751號中描述的,一電漿侷限環總成可包括複數個電漿侷限環,其排列成一堆疊以界定複數個經由該等環自一內表面至一外表面徑向延伸的氣體通道。當粒子經過該等通道時電漿中之帶電粒子經中和,從而最小化在該電漿侷限區域外放電的趨勢(意即,該電漿不受侷限)。
亦如美國專利第5,534,751號描述的,在電漿蝕刻處理中電漿侷限環總成可限制聚合物僅僅沉積於該等電漿侷限環自身。然而,為了避免潛在的腔室及基板污染問題,亦及避免額外的腔室清潔步驟以自電漿侷限環移除如此形成之聚合物沉積物,需要避免該聚合物沉積於該等侷限環。
根據上述聚合物沉積問題,經判定可提供包括侷限環之電漿侷限環總成,該等侷限環適於在該等環之電漿暴露表面達到足夠高的溫度以避免聚合物沉積於該等表面上,而不需要使用主動加熱該等表面。更特定言之,該等電漿侷限環適於將加熱定位於包括電漿暴露表面之該等環之選定部分。藉由在每一該等環之選定位置處提供一或多個熱阻器,藉以減少自該等環之彼等部分至其他部分之熱傳導,從而將加熱定位於該等選定部分,否則在沒有熱阻器的情況下該等其他部分會在侷限環中充當散熱體。
圖1描述一電漿侷限環總成10之一較佳實施例。該電漿侷限環總成10包含同心排列之一安裝環12及自該安裝環12起懸掛之電漿侷限環14、16、18及20。該安裝環12及電漿侷限環14、16、18及20在垂直方向上可移動以便提供調整。該總成之電漿侷限環之數目不限於所顯示之4個環,或者,可少於4個環,例如3個環或多於4個環,例如5、6或更多環。
該安裝環12及該等電漿侷限環14、16、18及20為包含一適當的電性絕緣材料(介電質)的浮動部分。該絕緣材料可為例如石英、熔化矽砂、氮化矽、氧化鋁或塑性材料。對介電材料而言,高純度石英係用於蝕刻處理之較佳材料。在該實施例中,安裝環12及每一電漿侷限環14、16、18及20係一單片的絕緣材料。
如圖1所示,該等電漿侷限環14、16、18及20藉由一經組態以經由電漿侷限環14、16、18及20之每一者之穿孔24延伸的吊架22與該安裝環12連接。較佳地在每一穿孔24中提供一插入物26以防止吊架22與該等電漿侷限環14、16、18及20直接接觸。較佳地在該吊架22之內部軸30上提供一彈簧28以便相對於該吊架22上之一配件32彈性地偏移吊架22。
對諸如晶圓之圓形半導體基板之蝕刻而言,電漿侷限環14、16、18及20分別包括內徑表面34、36、38及40,及分別包括外徑表面42、44、46及48。該等內徑表面34、36、38及40係電漿暴露表面。如圖1所示,最上面的電漿侷限環14在徑向方向上具有比電漿侷限環16、18及20更大的寬度。亦如圖所示的,該等電漿侷限環16、18及20各自的內徑表面36、38及40較佳垂直地對準。
該電漿侷限環14之內徑表面34較佳地與該安裝環12之內徑表面50垂直對準。藉由此排列,電漿侷限環14覆蓋該安裝環12之底面52。經判定歸因於該安裝環12之相對較大的熱質量,在沒有電漿侷限環14的情況下,該安裝環12之底面52不可達到一足夠高的溫度以防止在電漿處理中聚合物沉積於底面52上。藉由併入與底面52分離之電漿侷限環14,可保護底面52以免其暴露於電漿中,且較佳地最小化聚合物沉積於底面52的可能性。
在該實施例中,該等電漿侷限環14、16、18及20分別包括熱阻器54、56、58及60。在電漿蝕刻處理中,熱量由電漿及其他熱效應供應給電漿侷限環14、16、18及20。該等熱阻器54、56、58及60在自該等熱阻器54、56、58及60之位置徑向向外的方向上減少熱傳導,從而增強該等電漿侷限環14、16、18及20各自之分別界定於該等熱阻器54、56、58及60與內徑表面34、36、38及40之間的內部的加熱。因此,每一該等內徑表面34、36、38及40較佳地達到一足夠高的溫度從而大體上在電漿處理中防止聚合物沉積於該等表面上。
圖2及3描述一包括一熱阻器160之電漿侷限環120之一較佳實施例之一部分。在該實施例中,該電漿侷限環總成之該安裝環及/或一或多個其他電漿侷限環亦可包括一經如圖2及3所示而組態之熱阻器。
說明之熱阻器160包含複數個排列於一不連續的第一圓形圖案中之內槽161,及複數個自該等內槽向外間隔開之外槽163且該等外槽163排列於一同心的不連續的第二圓形圖案中。鄰近的內槽161由內域165分離,及鄰近的外槽163由外域167分離。如圖2所示,內域165及外域167在該電漿侷限環120周圍彼此偏移。該等內槽161及外槽163較佳地完全穿過該電漿侷限環120之厚度延伸。熱阻器160之此構造及佈置減少徑向熱轉移至該電漿侷限環120之外部169,使得內徑表面140達到一足夠高的溫度從而大體上在電漿處理中防止聚合物沉積於此表面。
內槽161及外槽163較佳具有約0.005英吋至約0.020英吋之寬度。在一較佳實施例中,內槽161及外槽163由雷射剝蝕技術形成。
在另一較佳實施例中,該電漿侷限環總成10之一或多個電漿侷限環具有一多片之構造。例如,如圖4所示之電漿侷限環220之實施例具有一兩片之構造及包含一內環部分221及一外環部分223。該內環部分221包括一電漿暴露內徑表面240。內環部分221及外環部分223較佳地包含相同的絕緣材料。
在該電漿侷限環220中,內環部分221及外環部分223經組態可在區域227處(此處接觸之發生歸因於重力)最小化內環部分221與外環部分223之表面之間的接觸。在內環部分221與外環部分223相對之表面之間界定至少一個間隙。在該實施例中,該等間隙225充當熱阻器。由該電漿侷限環220之此構造,當該內環部分221之內徑表面240暴露於電漿中時,自內環部分221至外環部分223之熱傳導僅僅發生於存在表面接觸之區域227處。因此,該內徑表面240可達到一足夠高的溫度從而大體上防止聚合物沉積於該表面。
在一較佳實施例中,一或多個該電漿侷限環總成之電漿侷限環之至少一個表面可經粗糙化及/或可用一對IR(紅外)輻射不透明且可阻止IR輻射傳輸之材料塗覆。在該實施例中,入射至該侷限環之紅外輻射穿過侷限環本體傳輸,但是受到經粗糙化及/或塗覆表面的阻止。例如,侷限環可包含石英或另一紅外透明絕緣材料。藉由阻止IR輻射,受粗糙化及/或塗覆表面部分界定之侷限環之選定部分之加熱得以增強。
電漿侷限環之選定表面可由一諸如珠擊法之適當技術粗糙化,或藉由使用一產生一麻點面之鑽石刀具切削表面而粗糙化。該等表面可由一適當之IR不透明材料塗覆。不暴露於電漿中之表面可由氧化鋁塗覆。
例如,如圖1所示,該電漿侷限環14之上表面62可在內徑表面34及熱阻器54之間的區域內經粗糙化及/或由一IR不透明材料塗覆。因此,入射至該電漿侷限環14之下表面64及/或內徑表面34之IR輻射受到經粗糙化及/或塗覆之上表面62阻止,從而增強在內徑表面34與熱阻器54之間之該電漿侷限環14的部分的加熱。因為表面64不直接暴露於電漿中,所以IR不透明材料可為一種並非較佳用於電漿侷限表面之諸如氧化鋁的材料。
在另一較佳實施例中,界定形成於電漿侷限環中之槽之選定表面可經粗糙化及/或用一對IR輻射不透明之材料塗覆。例如,在如圖2及3所示之電漿侷限環120之實施例中,表面169及171中之至少一個可經粗糙化及/或用一對IR不透明之材料塗覆以阻止IR輻射之傳輸,從而進一步影響內徑表面140之加熱。
圖5描述一電容式耦合電漿處理腔室300之一典型實施例,在該電容式耦合電漿處理腔室300安裝一電漿侷限環總成10。該電漿處理腔室300包括一具有一底面304之上電極302。在該實施例中,底面304包括適於控制鄰近該上電極302之暴露表面而形成之電漿的局部密度的階306,其如美國專利第6,391,787號(其整體以引用之方式併入本文)所描述。在該實施例中,上電極302係一蓮蓬頭式電極,其包括配置用於分配處理氣體至電漿處理腔室300之氣體通道308。該上電極302可包含矽(如單晶矽或多晶矽)或碳化矽。
在該實施例中,上電極302係一單片電極(例如,用於200 mm晶圓處理)。該上電極302較佳安裝(例如,彈性體接合)於一諸如石墨或碳化矽之適當材料之襯底構件310上。該襯底構件包括與上電極302中之對應之氣體通道308形成流體連通之氣體通道312。
在另一實施例中,上電極可具有一兩片的構造(例如,用於300 mm晶圓處理)及包括一單片內電極構件及一包圍內電極構件之外電極構件,其諸如共同擁有的美國專利申請案第10/743,062號(其整體以引用之方式併入本文)中所描述。在該實施例中,如美國專利申請案第10/743,062號描述的,襯底構件較佳地包括一與內電極構件共同延伸之襯板及一與外電極構件共同延伸之襯環。
在圖5所示之電漿處理腔室300之該實施例中,一熱控制板314較佳地提供於該襯底構件310上。如美國專利申請案第10/743,062號描述的,該熱控制板314較佳地包括適於控制上電極302之溫度的一或多個加熱器。
該電漿處理腔室300包括一用於供應處理氣體至上電極302之氣體源(未圖示)。該處理氣體由在上電極302中之氣體通道308分配於腔室中。該上電極302可由一射頻功率源316經由一匹配網路供以動力。在另一實施例中,該上電極302經電接地以提供一由電漿處理腔室300之基板支撐體320之一底電極供應之功率之回程通路。
在該實施例中,處理氣體供應至電漿處理腔室300中該上電極302與一半導體基板322(例如一半導體晶圓)之間之空間內的電漿產生區域,該半導體基板322由一基板支撐體320支撐。該基板支撐體320較佳地包括一靜電卡盤324,其藉由靜電夾鉗力將半導體基板322固定在基板支撐體上。靜電卡盤324充當一底電極及較佳經該等RF功率源325、327中之至少一個加以偏壓(通常經由一匹配網路)。
在該半導體基板322之電漿處理中,電漿侷限環總成10將電漿侷限於一在該上電極302與該半導體基板322之間的電漿侷限區域內。邊緣環326、328較佳地以圍繞半導體基板322之關係配置以聚集電漿以便改良蝕刻的均一性。
一真空泵(未圖示)適於在電漿處理腔室300內維持一需要的真空壓力。
可使用的一典型的平行板電漿反應器係一雙頻電漿蝕刻反應器(參看,例如共同擁有之美國專利第6,090,304號,其整體以引用之方式併入本文)。在該等反應器中,蝕刻氣體可自一氣體源供應至一蓮蓬頭式電極及電槳可在該反應器中藉由自兩個RF源供應不同頻率之RF能量至該蓮蓬頭式電極及/或一底電極而產生。或者,該蓮蓬頭式電極可電接地及RF能量可在兩個不同頻率下供應至該底電極。
實例1
在實例1中,圖6所示之一電漿侷限環總成400用於一平行板電容式耦合電漿處理腔室中。如顯示的,該電漿侷限環總成400大體上與如圖1所示之總成10具有相同的構造;然而,電漿侷限環414、416、418及420不包括熱阻器。該等電漿侷限環為石英的。
在實例1中,處理85個晶圓使用下列介電蝕刻處理條件:45 mT腔室壓力/2 MHz頻率時1100 W功率應用於底電極/60 MHz頻率時800W功率應用於底電極/300 sccm氬/15 sccm C4 F8 /10 sccm氧/上電極溫度為140℃/底電極溫度為20℃。蝕刻每一晶圓後執行氧氣清潔步驟,其使用下列處理條件:500 mT腔室壓力/頻率27 MHz時200 W功率應用於底電極/頻率60 MHz時300W功率應用於底電極/2000 sccm氧/40秒。
如圖6所示,使用黏附於安裝環412之底面452之位置A、侷限環414之頂面之位置B、侷限環416之頂面之位置C及侷限環420之頂面之位置D的熱電耦量測溫度。
電漿處理中在該等位置量測之溫度如下:位置A-約66℃/位置B-約110℃至約116℃/位置C-約82℃/位置D-約82℃至約104℃。蝕刻該等晶圓後,打開電漿處理腔室及觀察性地檢查侷限環總成400出現的聚合物沉積。在電漿侷限環414上未觀察到聚合物沉積。然而,在電漿侷限環416、418及420之電漿暴露、內徑表面436、438及440觀察到聚合物沉積,此指示該等表面未達到一足夠高的溫度以防止聚合物沉積。
實例2
實例2將圖6所示之電漿侷限環總成400用於一平行板電容式耦合電漿處理腔室以蝕刻100個晶圓,其使用下列介電蝕刻處理條件:45 mT腔室壓力/2 MHz頻率時1100 W功率應用於底電極/60 MHz頻率時800W功率應用於底電極/300 sccm氬/28 sccmC4 F8 /13 sccm氧/上電極溫度為140℃/底電極溫度為20℃。蝕刻每一晶圓後執行氧氣清潔步驟,其使用下列處理條件:500 mT腔室壓力/頻率27 MHz時250 W功率應用於底電極/頻率60 MHz時125W功率應用於底電極/2000 sccm氧/40秒。
蝕刻該等晶圓後,打開電漿處理腔室及觀察性地檢查侷限環總成400出現的聚合物沉積。在電漿侷限環414上未觀察到聚合物沉積。然而,在電漿侷限環416、418及420之電漿暴露、內徑表面436、438及440觀察到聚合物沉積,此指示該等表面未達到一足夠高的溫度以防止聚合物沉積。
實例3
在實例3中,圖7所示之一電漿侷限環總成500用於一平行板電容式耦合電漿處理腔室中。如顯示的,該電漿侷限環總成500與如圖1所示之總成10具有相同的構造,及包括電漿侷限環514、516、518及520上各自之熱阻器554、556、558及560。該等熱阻器554、556、558及560係諸如圖2所示之形成於一同心的、不連續的圓形排列中的槽。該等槽具有約0.010英吋至約0.015英吋的寬度及穿過該等電漿侷限環514、516、518及520之厚度而延伸。
在實例3中,使用與實例2中使用之相同的蝕刻處理條件來處理100個晶圓。
如圖7所示,使用黏附於安裝環512之底面552之位置A;侷限環514之頂面之自熱阻器554向內的位置B;侷限環514之頂面之自熱阻器554向外的位置C;侷限環516之頂面之自熱阻器556向內的位置D;及侷限環516之頂面之自熱阻器556向外的位置E的熱電耦量測溫度。在該等位置量測之溫度如下:位置A-約71℃至約77℃/位置B-約127℃至約132℃/位置C-約71℃至約77℃/位置D-約116℃至約143℃/位置E-約77℃至約82℃。
蝕刻該等晶圓後,打開電漿處理腔室及觀察性地檢查電漿侷限環總成500出現的聚合物沉積。在電漿侷限環514或電漿侷限環516、518及520之電漿暴露、內徑表面536、538及540未觀察到聚合物沉積,此指示該等表面達到一足夠高的溫度以防止聚合物沉積。
參看圖1,上述試驗結果證實藉由將最上面之延伸的電漿侷限環14併入於電漿侷限環總成中,可較佳地防止聚合物沉積於厚安裝環12上。另外,藉由在電漿侷限環14、16、18及20中提供一或多個熱阻器,可較佳地防止聚合物沉積於該等環之電漿暴露表面。
前文已描述本發明之原理、較佳實施例及操作模式。然而,本發明不應解釋為受限於該等討論之特定實施例。因此,上述實施例應視為說明性的而不是限制性的,及應瞭解在不脫離如下列申請專利範圍所界定之本發明之範疇的情況下熟習此項技術者可對該等實施例進行變化。
10...電漿侷限環總成
12‧‧‧安裝環
14,16,18,20‧‧‧電漿侷限環
22‧‧‧吊架
24‧‧‧穿孔
26‧‧‧插入物
28‧‧‧彈簧
30‧‧‧內部軸
32‧‧‧配件
34,36,38,40‧‧‧內徑表面
42,44,46,48‧‧‧外徑表面
50‧‧‧安裝環內徑表面
52‧‧‧底面
54,56,58,60‧‧‧熱阻器
62‧‧‧上表面
64‧‧‧下表面
120‧‧‧電漿侷限環
140‧‧‧內徑表面
160‧‧‧熱阻器
161‧‧‧內槽
163‧‧‧外槽
165‧‧‧內域
167‧‧‧外域
169‧‧‧外部
171‧‧‧表面
220...電漿侷限環
221...內環部分
223...外環部分
225...間隙
227...區域
240...內徑表面
300...電漿侷限環總成
302...上電極
304...底面
306...階
308...氣體通道
310...襯底構件
312...氣體通道
314...熱控制板
316,327...RF功率
320...基板支撐
322...半導體基板
324...靜電卡盤
326,328...邊緣環
400...電漿侷限環總成
412...安裝環
414,416,418,420...電漿侷限環
436,438,440...內徑表面
452...底面
500...電漿侷限環總成
512...安裝環
514,516,518,520...電漿侷限環
552...底面
554,556,559,560...熱阻器
A,B,C,D,E...溫度量測位置
圖1說明一電漿侷限環總成之一較佳實施例之一部分。
圖2說明該電漿侷限環總成之一電漿侷限環之一較佳實施例之一部分的俯視圖,其中該環包括一熱阻器。
圖3係一圖2所示之該電漿侷限環之放大的部分俯視圖。
圖4說明該電漿侷限環總成之一電漿侷限環之另一較佳實施例的側視圖,其具有一個兩片的構造。
圖5說明一包括該電漿侷限環總成之一較佳實施例的電漿處理腔室。
圖6描述實例1及2中使用之沒有熱阻器之該電漿侷限環總成的實施例。
圖7描述實例3中使用之包括熱阻器之該電漿侷限環總成的實施例。
10‧‧‧電漿侷限環總成
12‧‧‧安裝環
14,16,18,20‧‧‧電漿侷限環
22‧‧‧吊架
24‧‧‧穿孔
26‧‧‧插入物
28‧‧‧彈簧
30‧‧‧內部軸
32‧‧‧配件
34,36,38,40‧‧‧內徑表面
42,44,46,48‧‧‧外徑表面
50‧‧‧安裝環內徑表面
52‧‧‧底面
54,56,58,60‧‧‧熱阻器
62‧‧‧上表面
64‧‧‧下表面

Claims (28)

  1. 一種用於電漿處理腔室之電漿侷限環總成,其包含複數個電漿侷限環,每一電漿侷限環均包括用於接收支撐該電漿侷限環之若干吊架的若干穿孔,每一電漿侷限環均包括一具有一電漿暴露內徑表面之內部;一自該內部朝外徑向安置之外部;及至少一個熱阻器,其適於當該內徑表面暴露於該電漿處理腔室之電漿中時,減少自該內部至該外部之熱傳導,致使該內徑表面達到一足夠高的溫度,從而大體上防止聚合物沉積其上;其中該至少一熱阻器包含位於一內環及一外環之間的至少一沿圓周延伸的槽或一間隙。
  2. 如請求項1之電漿侷限環總成,其中該等電漿侷限環之一或多者具有一單片構造。
  3. 如請求項1之電漿侷限環總成,其中該等電漿侷限環之一或多者包含作為相互分離之部分的內部及外部。
  4. 如請求項3之電漿侷限環總成,其中該內部為一包括該內徑表面之內環,該外部為一外環,及在該內環與外環之間界定至少一個間隙。
  5. 如請求項3之電漿侷限環總成,其中該內部與該外部包含相同的介電材料。
  6. 如請求項1之電漿侷限環總成,其中該等電漿侷限環之一或多者之該內部具有至少一個表面經粗糙化及被一阻止IR輻射之材料塗覆。
  7. 如請求項1之電漿侷限環總成,其中該等電漿侷限環之 至少一者之該熱阻器包含複數個槽。
  8. 如請求項7之電漿侷限環總成,其中該等槽之至少一個由一經粗糙化及被一阻止IR輻射之材料塗覆的表面部分地界定。
  9. 如請求項7之電漿侷限環總成,其中該等槽包含沿一不連續的第一圓而延伸之複數個第一槽,及沿著圍繞該第一圓之一同心不連續的第二圓而延伸之複數個第二槽。
  10. 如請求項7之電漿侷限環總成,其中該等槽之每一者完全穿過該電漿侷限環之厚度而延伸。
  11. 如請求項1之電漿侷限環總成,其進一步包含:一安裝環;且該複數個電漿侷限環懸於該安裝環之下。
  12. 如請求項1之電漿侷限環總成,其中該等槽具有從0.005英吋至0.020英吋的一寬度。
  13. 一種電漿處理裝置,包含:一上電極;一包括一下電極之基板支撐;及一如請求項1之電漿侷限環總成,其經配置以增強該上電極與該基板支撐間之空間中之一電漿的侷限性。
  14. 一種用於電漿處理腔室之電漿侷限環總成,其包含:一安裝環;及如請求項1之電漿侷限環總成,該複數個電漿侷限環包含一上電漿侷限環及複數個下電漿侷限環,該上電漿侷限環包括一面對該安裝環之頂面及一自該等下電漿侷 限環朝內徑向安置之電漿暴露底面,該上電漿侷限環之該頂面之至少一部分適於阻止IR輻射以藉此增強該上電漿侷限環之加熱致使該底面達到一足夠高的溫度,從而當該底面暴露於該電漿中時大體上防止聚合物沉積其上。
  15. 如請求項14之電漿侷限環總成,其中該上電漿侷限環之該頂面經粗糙化及被一阻止IR輻射之材料塗覆。
  16. 如請求項14之電漿侷限環總成,其中:該上電漿侷限環包含一內部、一外部及至少一個當該底面暴露於該電漿中時減少自該內部至該外部之熱傳導的熱阻器。
  17. 如請求項16之電漿侷限環總成,其中在該上電漿侷限環中之該熱阻器包含複數個槽。
  18. 如請求項17之電漿侷限環總成,其中位於該上電漿侷限環之該等槽之每一者完全穿過該上電漿侷限環之厚度及完全穿過該等下電漿侷限環之厚度而延伸,及該等槽具有一約0.005英吋至0.020英吋之寬度。
  19. 如請求項17之電漿侷限環總成,其中位於該上電漿侷限環之該等槽之至少一些由一經粗糙化及被一阻止IR輻射之材料塗覆之表面部分地界定。
  20. 一種電漿處理腔室,包含:一上電極;一包括一下電極之基板支撐;及一如請求項14之電漿侷限環總成,其經配置以增強在 該上電極與該基板支撐間之空間中的一電漿之侷限性。
  21. 一種在電漿處理腔室內處理半導體基板之方法,其包含:供應處理氣體至一包含如請求項1或請求項14之電漿侷限環的電漿處理腔室;在該蝕刻期間自該處理氣體產生一電漿及在該電漿處理腔室中蝕刻一半導體基板;及該等電漿侷限環之每一者之該電漿暴露表面達到一足夠高的溫度從而大體上防止聚合物沉積其上。
  22. 如請求項21之方法,其中該半導體基板包含一由該電漿蝕刻之介電材料,及該處理氣體含有至少一種選自由碳氟化合物類、氫氟碳化物類、碳氟化合物前驅體類及氫氟碳化物前驅體類組成之群之組份。
  23. 如請求項21之方法,其中該電漿處理腔室包含一接地上電極及一下電極,功率以兩種不同的頻率應用於該下電極。
  24. 如請求項23之方法,其中該上電極係一蓮蓬頭式電極。
  25. 如請求項21之方法,其中該等電漿侷限環之電漿暴露表面達到一足夠高的溫度從而大體上防止聚合物沉積其上。
  26. 如請求項21之方法,其中該等熱阻器係槽。
  27. 如請求項21之方法,其中該等電漿侷限環之一或多者包含界定至少一個處於其間之間隙的分離部分。
  28. 如請求項21之方法,其中該等電漿侷限環之一或多者具有至少一個經粗糙化及被一阻止IR輻射之材料塗覆之表面。
TW095109245A 2005-03-18 2006-03-17 具有減少聚合物沉積特徵之電漿侷限環總成 TWI404137B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/083,241 US7430986B2 (en) 2005-03-18 2005-03-18 Plasma confinement ring assemblies having reduced polymer deposition characteristics

Publications (2)

Publication Number Publication Date
TW200644114A TW200644114A (en) 2006-12-16
TWI404137B true TWI404137B (zh) 2013-08-01

Family

ID=37008986

Family Applications (1)

Application Number Title Priority Date Filing Date
TW095109245A TWI404137B (zh) 2005-03-18 2006-03-17 具有減少聚合物沉積特徵之電漿侷限環總成

Country Status (9)

Country Link
US (3) US7430986B2 (zh)
EP (1) EP1869228B1 (zh)
JP (2) JP4960340B2 (zh)
KR (1) KR101355729B1 (zh)
CN (2) CN102867726B (zh)
IL (1) IL185670A0 (zh)
SG (1) SG152231A1 (zh)
TW (1) TWI404137B (zh)
WO (1) WO2006101889A2 (zh)

Families Citing this family (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7430986B2 (en) * 2005-03-18 2008-10-07 Lam Research Corporation Plasma confinement ring assemblies having reduced polymer deposition characteristics
US9659758B2 (en) 2005-03-22 2017-05-23 Honeywell International Inc. Coils utilized in vapor deposition applications and methods of production
US7713379B2 (en) * 2005-06-20 2010-05-11 Lam Research Corporation Plasma confinement rings including RF absorbing material for reducing polymer deposition
US7482550B2 (en) * 2006-10-16 2009-01-27 Lam Research Corporation Quartz guard ring
US8069817B2 (en) * 2007-03-30 2011-12-06 Lam Research Corporation Showerhead electrodes and showerhead electrode assemblies having low-particle performance for semiconductor material processing apparatuses
US8216418B2 (en) * 2007-06-13 2012-07-10 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket and o-rings
US7862682B2 (en) * 2007-06-13 2011-01-04 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses
WO2009042137A2 (en) 2007-09-25 2009-04-02 Lam Research Corporation Temperature control modules for showerhead electrode assemblies for plasma processing apparatuses
US8152954B2 (en) 2007-10-12 2012-04-10 Lam Research Corporation Showerhead electrode assemblies and plasma processing chambers incorporating the same
US8187414B2 (en) * 2007-10-12 2012-05-29 Lam Research Corporation Anchoring inserts, electrode assemblies, and plasma processing chambers
TWI455239B (zh) * 2008-03-14 2014-10-01 Lam Res Corp 凸輪鎖電極夾
US8187413B2 (en) * 2008-03-18 2012-05-29 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket
US8206506B2 (en) * 2008-07-07 2012-06-26 Lam Research Corporation Showerhead electrode
US8161906B2 (en) 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
US8221582B2 (en) * 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US8869741B2 (en) * 2008-12-19 2014-10-28 Lam Research Corporation Methods and apparatus for dual confinement and ultra-high pressure in an adjustable gap plasma chamber
US8540844B2 (en) * 2008-12-19 2013-09-24 Lam Research Corporation Plasma confinement structures in plasma processing systems
US8313612B2 (en) 2009-03-24 2012-11-20 Lam Research Corporation Method and apparatus for reduction of voltage potential spike during dechucking
US8402918B2 (en) 2009-04-07 2013-03-26 Lam Research Corporation Showerhead electrode with centering feature
US8272346B2 (en) 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
DE102009022982A1 (de) * 2009-05-28 2010-12-02 Oerlikon Trading Ag, Trübbach Verfahren zum Aufbringen eines Hochtemperaturschmiermittels
KR101110080B1 (ko) * 2009-07-08 2012-03-13 주식회사 유진테크 확산판을 선택적으로 삽입설치하는 기판처리방법
TWI385725B (zh) * 2009-09-18 2013-02-11 Advanced Micro Fab Equip Inc A structure that reduces the deposition of polymer on the backside of the substrate
SG169960A1 (en) 2009-09-18 2011-04-29 Lam Res Corp Clamped monolithic showerhead electrode
JP3160877U (ja) 2009-10-13 2010-07-15 ラム リサーチ コーポレーションLam Research Corporation シャワーヘッド電極アセンブリの端部クランプ留めおよび機械固定される内側電極
US8573152B2 (en) 2010-09-03 2013-11-05 Lam Research Corporation Showerhead electrode
US9076826B2 (en) 2010-09-24 2015-07-07 Lam Research Corporation Plasma confinement ring assembly for plasma processing chambers
US20130122711A1 (en) * 2011-11-10 2013-05-16 Alexei Marakhtanov System, method and apparatus for plasma sheath voltage control
US20140141619A1 (en) * 2012-11-19 2014-05-22 Tokyo Electron Limited Capacitively coupled plasma equipment with uniform plasma density
CN103854943B (zh) * 2012-11-30 2016-05-04 中微半导体设备(上海)有限公司 一种用于等离子体处理腔室的约束环及腔室清洁方法
US8893702B2 (en) * 2013-02-20 2014-11-25 Lam Research Corporation Ductile mode machining methods for hard and brittle components of plasma processing apparatuses
KR101445226B1 (ko) * 2013-04-23 2014-09-29 피에스케이 주식회사 배기 링 어셈블리 및 이를 포함하는 기판 처리 장치
CN105210173A (zh) * 2013-05-23 2015-12-30 应用材料公司 用于半导体处理腔室的经涂布的衬里组件
US9837420B1 (en) 2017-01-10 2017-12-05 Micron Technology, Inc. Arrays of memory cells individually comprising a capacitor and an elevationally-extending transistor, methods of forming a tier of an array of memory cells, and methods of forming an array of memory cells individually comprising a capacitor and an elevationally-extending transistor
US11289355B2 (en) 2017-06-02 2022-03-29 Lam Research Corporation Electrostatic chuck for use in semiconductor processing
US11469084B2 (en) * 2017-09-05 2022-10-11 Lam Research Corporation High temperature RF connection with integral thermal choke
US11183373B2 (en) 2017-10-11 2021-11-23 Honeywell International Inc. Multi-patterned sputter traps and methods of making
KR20240050466A (ko) 2018-01-31 2024-04-18 램 리써치 코포레이션 정전 척 (electrostatic chuck, ESC) 페데스탈 전압 분리
US11086233B2 (en) 2018-03-20 2021-08-10 Lam Research Corporation Protective coating for electrostatic chucks
CN109725375A (zh) * 2018-12-21 2019-05-07 中国电子科技集团公司第四十四研究所 一种ⅲ-ⅴ族材料纳米光栅刻蚀方法
CN111586957B (zh) * 2019-02-19 2021-05-04 大连理工大学 一种容性耦合等离子体放电装置
CN112802729B (zh) * 2019-11-13 2024-05-10 中微半导体设备(上海)股份有限公司 带温度维持装置的隔离环
CN112928007B (zh) * 2019-12-06 2023-09-12 中微半导体设备(上海)股份有限公司 等离子体处理设备及用于等离子体处理设备的下电极组件
CN113035679B (zh) * 2019-12-24 2023-09-29 中微半导体设备(上海)股份有限公司 一种等离子体处理装置
CN113745081B (zh) * 2020-05-27 2024-03-12 中微半导体设备(上海)股份有限公司 一种隔离环组件、等离子体处理装置及处理方法
CN111876777A (zh) * 2020-07-24 2020-11-03 沈阳富创精密设备有限公司 一种刻蚀设备约束环的制造工艺

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5882411A (en) * 1996-10-21 1999-03-16 Applied Materials, Inc. Faceplate thermal choke in a CVD plasma reactor
US6666924B1 (en) * 2000-03-28 2003-12-23 Asm America Reaction chamber with decreased wall deposition
TW200402103A (en) * 2002-05-10 2004-02-01 Applied Materials Inc Method of etching a trench in a silicon-containing dielectric material
TW200402795A (en) * 2002-07-09 2004-02-16 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
US6716762B1 (en) * 2001-04-30 2004-04-06 Lam Research Corporation Plasma confinement by use of preferred RF return path

Family Cites Families (57)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6095083A (en) * 1991-06-27 2000-08-01 Applied Materiels, Inc. Vacuum processing chamber having multi-mode access
US6074512A (en) * 1991-06-27 2000-06-13 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna and modular confinement magnet liners
US5534751A (en) * 1995-07-10 1996-07-09 Lam Research Corporation Plasma etching apparatus utilizing plasma confinement
US5543751A (en) * 1995-07-21 1996-08-06 Motorola, Inc. Power combiner for use in a radio frequency system and a method of constructing a power combiner
US5977552A (en) * 1995-11-24 1999-11-02 Applied Materials, Inc. Boron ion sources for ion implantation apparatus
US6092486A (en) * 1996-05-27 2000-07-25 Sumimoto Metal Indsutries, Ltd. Plasma processing apparatus and plasma processing method
US5846332A (en) * 1996-07-12 1998-12-08 Applied Materials, Inc. Thermally floating pedestal collar in a chemical vapor deposition chamber
US6286451B1 (en) * 1997-05-29 2001-09-11 Applied Materials, Inc. Dome: shape and temperature controlled surfaces
US5846459A (en) * 1997-06-26 1998-12-08 Ucar Carbon Technology Corporation Method of forming a flexible graphite sheet with decreased anisotropy
US6008130A (en) * 1997-08-14 1999-12-28 Vlsi Technology, Inc. Polymer adhesive plasma confinement ring
US6090304A (en) * 1997-08-28 2000-07-18 Lam Research Corporation Methods for selective plasma etch
US6009830A (en) * 1997-11-21 2000-01-04 Applied Materials Inc. Independent gas feeds in a plasma reactor
GB2331838A (en) * 1997-11-24 1999-06-02 Coolbox Portable,thermoelectric,temperature controlled receptacles.
US6079356A (en) * 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
KR100258984B1 (ko) * 1997-12-24 2000-08-01 윤종용 건식 식각 장치
US6464843B1 (en) * 1998-03-31 2002-10-15 Lam Research Corporation Contamination controlling method and apparatus for a plasma processing chamber
US6129808A (en) * 1998-03-31 2000-10-10 Lam Research Corporation Low contamination high density plasma etch chambers and methods for making the same
US6019060A (en) * 1998-06-24 2000-02-01 Lam Research Corporation Cam-based arrangement for positioning confinement rings in a plasma processing chamber
US5998932A (en) * 1998-06-26 1999-12-07 Lam Research Corporation Focus ring arrangement for substantially eliminating unconfined plasma in a plasma processing chamber
US6073577A (en) * 1998-06-30 2000-06-13 Lam Research Corporation Electrode for plasma processes and method for manufacture and use thereof
US6050216A (en) * 1998-08-21 2000-04-18 M.E.C. Technology, Inc. Showerhead electrode for plasma processing
US6170429B1 (en) * 1998-09-30 2001-01-09 Lam Research Corporation Chamber liner for semiconductor process chambers
US6178919B1 (en) * 1998-12-28 2001-01-30 Lam Research Corporation Perforated plasma confinement ring in plasma reactors
US6123775A (en) * 1999-06-30 2000-09-26 Lam Research Corporation Reaction chamber component having improved temperature uniformity
US6245192B1 (en) * 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6415736B1 (en) * 1999-06-30 2002-07-09 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6408786B1 (en) * 1999-09-23 2002-06-25 Lam Research Corporation Semiconductor processing equipment having tiled ceramic liner
US6423175B1 (en) * 1999-10-06 2002-07-23 Taiwan Semiconductor Manufacturing Co., Ltd Apparatus and method for reducing particle contamination in an etcher
US6477980B1 (en) * 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
US6237528B1 (en) * 2000-01-24 2001-05-29 M.E.C. Technology, Inc. Showerhead electrode assembly for plasma processing
TW492041B (en) 2000-02-14 2002-06-21 Tokyo Electron Ltd Method and device for attenuating harmonics in semiconductor plasma processing systems
US6451703B1 (en) * 2000-03-10 2002-09-17 Applied Materials, Inc. Magnetically enhanced plasma etch process using a heavy fluorocarbon etching gas
US6362110B1 (en) * 2000-03-30 2002-03-26 Lam Research Corporation Enhanced resist strip in a dielectric etcher using downstream plasma
US6391787B1 (en) * 2000-10-13 2002-05-21 Lam Research Corporation Stepped upper electrode for plasma processing uniformity
US6363624B1 (en) * 2000-11-21 2002-04-02 Applied Materials, Inc. Apparatus for cleaning a semiconductor process chamber
US20020121500A1 (en) * 2000-12-22 2002-09-05 Rao Annapragada Method of etching with NH3 and fluorine chemistries
US6805952B2 (en) * 2000-12-29 2004-10-19 Lam Research Corporation Low contamination plasma chamber components and methods for making the same
US6527911B1 (en) * 2001-06-29 2003-03-04 Lam Research Corporation Configurable plasma volume etch chamber
US6984288B2 (en) * 2001-08-08 2006-01-10 Lam Research Corporation Plasma processor in plasma confinement region within a vacuum chamber
JP2003224115A (ja) * 2001-11-05 2003-08-08 Tokyo Electron Ltd プラズマプロセスにおけるチャンバの共振を緩和する装置並びに方法
US20030092278A1 (en) * 2001-11-13 2003-05-15 Fink Steven T. Plasma baffle assembly
US6887340B2 (en) * 2001-11-13 2005-05-03 Lam Research Corporation Etch rate uniformity
US6841943B2 (en) * 2002-06-27 2005-01-11 Lam Research Corp. Plasma processor with electrode simultaneously responsive to plural frequencies
JP2004079557A (ja) * 2002-08-09 2004-03-11 Hitachi High-Technologies Corp プラズマ処理装置及びプラズマ処理方法
US20040065656A1 (en) * 2002-10-04 2004-04-08 Makoto Inagawa Heated substrate support
US7169695B2 (en) * 2002-10-11 2007-01-30 Lam Research Corporation Method for forming a dual damascene structure
US6838012B2 (en) * 2002-10-31 2005-01-04 Lam Research Corporation Methods for etching dielectric materials
US7972467B2 (en) * 2003-04-17 2011-07-05 Applied Materials Inc. Apparatus and method to confine plasma and reduce flow resistance in a plasma reactor
US7455748B2 (en) * 2003-06-20 2008-11-25 Lam Research Corporation Magnetic enhancement for mechanical confinement of plasma
JP4291080B2 (ja) * 2003-08-01 2009-07-08 信越石英株式会社 石英ガラスの表面処理方法
US7645341B2 (en) * 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
KR100539266B1 (ko) * 2004-06-02 2005-12-27 삼성전자주식회사 호 절편 형태의 한정부를 가지는 플라즈마 공정 장비
US20060172542A1 (en) * 2005-01-28 2006-08-03 Applied Materials, Inc. Method and apparatus to confine plasma and to enhance flow conductance
US7430986B2 (en) 2005-03-18 2008-10-07 Lam Research Corporation Plasma confinement ring assemblies having reduced polymer deposition characteristics
US7837825B2 (en) * 2005-06-13 2010-11-23 Lam Research Corporation Confined plasma with adjustable electrode area ratio
US7713379B2 (en) * 2005-06-20 2010-05-11 Lam Research Corporation Plasma confinement rings including RF absorbing material for reducing polymer deposition
US7879184B2 (en) * 2006-06-20 2011-02-01 Lam Research Corporation Apparatuses, systems and methods for rapid cleaning of plasma confinement rings with minimal erosion of other chamber parts

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5882411A (en) * 1996-10-21 1999-03-16 Applied Materials, Inc. Faceplate thermal choke in a CVD plasma reactor
US6666924B1 (en) * 2000-03-28 2003-12-23 Asm America Reaction chamber with decreased wall deposition
US6716762B1 (en) * 2001-04-30 2004-04-06 Lam Research Corporation Plasma confinement by use of preferred RF return path
TW200402103A (en) * 2002-05-10 2004-02-01 Applied Materials Inc Method of etching a trench in a silicon-containing dielectric material
TW200402795A (en) * 2002-07-09 2004-02-16 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control

Also Published As

Publication number Publication date
TW200644114A (en) 2006-12-16
EP1869228B1 (en) 2018-11-28
JP2012104847A (ja) 2012-05-31
IL185670A0 (en) 2008-01-06
EP1869228A4 (en) 2010-11-03
JP4960340B2 (ja) 2012-06-27
EP1869228A2 (en) 2007-12-26
CN102867726B (zh) 2015-07-08
KR101355729B1 (ko) 2014-01-27
WO2006101889A3 (en) 2009-04-16
US20060207502A1 (en) 2006-09-21
CN101495670A (zh) 2009-07-29
US8500952B2 (en) 2013-08-06
US20120325407A1 (en) 2012-12-27
JP2008533741A (ja) 2008-08-21
US20080318433A1 (en) 2008-12-25
US8262922B2 (en) 2012-09-11
KR20070114392A (ko) 2007-12-03
WO2006101889A2 (en) 2006-09-28
US7430986B2 (en) 2008-10-07
SG152231A1 (en) 2009-05-29
CN102867726A (zh) 2013-01-09
CN101495670B (zh) 2012-10-03

Similar Documents

Publication Publication Date Title
TWI404137B (zh) 具有減少聚合物沉積特徵之電漿侷限環總成
KR101468340B1 (ko) 폴리머 증착을 감소시키는 rf 흡수 재료를 포함하는 플라즈마 한정링
KR102594473B1 (ko) 내장형 rf 차폐부를 갖는 반도체 기판 지지부들
KR20050057423A (ko) 기판상에의 중합체 증착을 감소시키기 위한 장치를 구비한플라즈마 장치 및 중합체 증착을 감소시키는 방법
KR102561044B1 (ko) 다중 구역 반도체 기판 지지체
JPH1064989A (ja) 静電チャック用シールド
TW201243942A (en) Focus ring and plasma processing apparatus
JP6937753B2 (ja) 融合されたカバーリング
KR20220155591A (ko) 고성능 코팅을 갖는 반도체 챔버 구성요소들
TW202201466A (zh) 在電漿腔室中使用的低電阻限制襯墊
TW202224088A (zh) 半導體處理腔室的覆蓋晶圓