TWI393186B - Processes and integrated systems for engineering a substrate surface for metal deposition - Google Patents

Processes and integrated systems for engineering a substrate surface for metal deposition Download PDF

Info

Publication number
TWI393186B
TWI393186B TW96131990A TW96131990A TWI393186B TW I393186 B TWI393186 B TW I393186B TW 96131990 A TW96131990 A TW 96131990A TW 96131990 A TW96131990 A TW 96131990A TW I393186 B TWI393186 B TW I393186B
Authority
TW
Taiwan
Prior art keywords
substrate
copper
metal
vacuum
layer
Prior art date
Application number
TW96131990A
Other languages
Chinese (zh)
Other versions
TW200832556A (en
Inventor
Yezdi Dordi
Fritz C Redeker
John Boyd
William Thie
Tiruchirapalli Arunagiri
Arthur M Howald
Hyungsuk Alexander Yoon
Johan Vertommen
Original Assignee
Lam Res Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US11/513,446 external-priority patent/US8747960B2/en
Priority claimed from US11/513,634 external-priority patent/US8771804B2/en
Priority claimed from US11/514,038 external-priority patent/US8241701B2/en
Application filed by Lam Res Corp filed Critical Lam Res Corp
Publication of TW200832556A publication Critical patent/TW200832556A/en
Application granted granted Critical
Publication of TWI393186B publication Critical patent/TWI393186B/en

Links

Description

用以安排金屬沈積用之基板表面的方法及整合之系統Method for arranging the surface of a substrate for metal deposition and integrated system

本發明係關於一種用以安排金屬沉積用之基板表面的方法及整合系統,尤其係關於可生產改善之金屬對金屬介面或矽對金屬介面,以增強電致遷移性能、提供低金屬電阻率、以及改善對於銅互連線的金屬對金屬或矽對金屬介面附著性的方法及整合系統。The present invention relates to a method and an integrated system for arranging the surface of a substrate for metal deposition, in particular for producing an improved metal-to-metal interface or a germanium-to-metal interface for enhancing electromigration performance, providing low metal resistivity, And methods and integrated systems for improving metal-to-metal or germanium-to-metal interface adhesion to copper interconnects.

積體電路使用導電互連以將個別裝置彼此連線於半導體基板上,或對積體電路進行外部通信。對於通孔及溝槽的互連金屬化可包含鋁合金及銅。電致遷移(EM,electro-migration)為對於金屬互連的熟知可靠度問題,其係由電子以電流密度所決定之速率而於電流方向推動及移動金屬原子所引起。電致遷移最終會導致金屬線的薄化(thinning),此會造成更高的電阻率,或者造成最壞的情況,即金屬線崩潰。幸運地,並非積體電路(IC,integrated circuits)上的每一互連金屬線始終均具有沿同一方向移動的電流,因為其主要發生在電源及接地線上。然而,隨著金屬線變得更窄(國際半導體技術藍圖(ITRS,International Technology Roadmap for Semiconductors)對於每一技術節點在線寬上需要縮小約0.7倍),電致遷移便成為一個更大的問題。The integrated circuit uses conductive interconnects to wire individual devices to each other on a semiconductor substrate or to externally communicate with integrated circuits. Interconnect metallization of vias and trenches may comprise aluminum alloys and copper. Electro-migration (EM) is a well-known reliability problem for metal interconnects caused by electrons pushing and moving metal atoms in the direction of current at a rate determined by current density. Electromigration eventually leads to thinning of the metal line, which results in higher resistivity or the worst case, that is, the metal line collapses. Fortunately, not every interconnecting metal line on an integrated circuit (IC) has a current that moves in the same direction because it occurs primarily on the power and ground lines. However, as metal lines become narrower (ITRS, International Technology Roadmap for Semiconductors needs to shrink by about 0.7 times for each technology node), electromigration becomes a bigger problem.

在鋁線方面,EM為一種體量現象(bulk phenomenon)並且可藉由例如銅之掺雜物的小量添加而獲得良好的控制。另一方面,銅線上的EM為一種表面現象(surface phenomenon)。其可發生於銅會自由移動的任何地方,典型上係在銅與另一材料之間具有微弱附著性的介面處。在今日的雙金屬鑲嵌處理中,此最常發生在銅線與典型上為SiC擴散阻障層相接合之處的頂部,但其亦可發生在銅/阻障層介面上。對於至下一技術節點的每一次變遷以及所造成的電流密度增加,這個問題會隨之惡化。In terms of aluminum wire, EM is a bulk phenomenon and good control can be obtained by a small amount of addition of a dopant such as copper. On the other hand, the EM on the copper wire is a surface phenomenon. It can occur anywhere where copper can move freely, typically at an interface with a weak adhesion between copper and another material. In today's dual damascene processing, this most often occurs at the top of the copper wire where it is typically bonded to the SiC diffusion barrier layer, but it can also occur on the copper/barrier layer interface. This problem will worsen for each transition to the next technology node and the resulting increase in current density.

對於EM問題與相關的應力孔洞(stress voids,另一個共同的可靠度問題)的解決之道,已成為製程整合的故事:最佳化的沉積(即減少阻障及晶種層的厚度)、前與後沉積晶圓清潔、表面處理等等,完全針對提供均一表面以及這些層之間的良好附著性,以使金屬原子遷移及孔洞傳遞降至最低。在雙金屬鑲嵌處理中,(接點與通孔的)溝槽及孔洞在介電層中被蝕刻,然後以例如鉭(Ta)、氮化鉭(TaN)、或此兩種膜之組合的阻障材料進行填補,接著進行銅晶種層的沉積、銅無電電鍍、使用CMP的銅平坦化以及例如SiC/low-k/SiC之介電疊層的沉積。由於當銅曝露於空氣時銅上容易形成氧化物,在以SiC覆蓋銅之前,吾人需要適當的後CMP清理及銅氧化物的移除,以確保銅與SiC之間的良好附著性。對於良好的EM性能及降低產生的金屬電阻率,在SiC沉積之前銅氧化物的移除係必要的。The solution to the EM problem and the associated stress voids, another common reliability issue, has become the story of process integration: optimized deposition (ie reduction of barriers and thickness of the seed layer), Pre- and post-deposited wafer cleaning, surface treatment, etc., are fully directed to providing a uniform surface and good adhesion between these layers to minimize metal atom migration and hole transfer. In the dual damascene process, trenches (holes and vias) are etched in the dielectric layer and then, for example, tantalum (Ta), tantalum nitride (TaN), or a combination of the two. The barrier material is filled, followed by deposition of a copper seed layer, electroless copper plating, copper planarization using CMP, and deposition of a dielectric stack such as SiC/low-k/SiC. Since copper is easily formed on the copper when it is exposed to air, proper copper cleaning and copper oxide removal are required before copper is covered with SiC to ensure good adhesion between copper and SiC. For good EM performance and reduced metal resistivity, removal of copper oxide prior to SiC deposition is necessary.

近年來,相較於SiC覆蓋銅,在SiC介電阻障層之前,以例如CoWP(鈷鎢磷化物)、CoWB(鈷鎢硼化物)、或CoWBP(鈷鎢硼磷化物)的鈷合金覆蓋層對銅進行覆蓋已被證明可顯著地改善電致遷移。圖1顯示鈷合金覆蓋層20、30分別被沉積覆蓋在銅層23、33的上方以及介電覆蓋SiC層25、35的下方。Ta及/或TaN阻障層顯示如層24、34。鈷合金層20、30可改善銅層23、33與SiC覆蓋層25、35之間的附著性。鈷合金層20、30亦可表現出某程度的銅擴散阻障特性。此鈷合金覆蓋層可藉由無電沉積而選擇性地沉積在銅上。然而,無電沉積會被薄銅氧化物所抑制,此銅氧化物可在銅曝露於空氣時形成。又,位於銅及介電層表面上的污染物可導致圖案相依電鍍效應,此效應包含:Co合金的圖案相依厚度;以及部份由於在用以起始Co電鍍反應所需之「籌劃」時期中進行的蝕刻所引起的圖案相依銅線厚度損失。因此,控制處理環境以限制(或控制)原始銅氧化物成長係重要的,並且在沉積例如鈷合金的金屬覆蓋層之前立即移除位於銅表面上之銅氧化物與有機污染物以及位於介電層表面上之有機與金屬污染物亦係重要的。又,為了降低圖案相依沉積的變異性,此介電層表面必需被控制以使其對於不同圖案密度的影響正常化。對於確保良好的介面附著性以及良好的EM性能而言,安排銅23、33之間、銅與阻障層(33與34之間、23與24之間)之間、以及例如鈷合金層20、30之附著促進層(或金屬覆蓋層)的金屬對金屬介面,係非常關鍵的。又,因為金屬線變得更窄,所以物理氣相沉積(PVD,physical vapor deposition)阻障及晶種膜會形成更大部份的金屬線,因此增加有效電阻率及電流密度。薄與正形的阻障及晶種層可緩和這種傾向,以原子層沉積(ALD,atomic layer deposition)阻障層(TaN、Ru或混合組合)提供正形的階梯覆蓋及可接受的阻障特性;而無電Cu處理提供正形的晶種層。然而迄今,尚未有可附著於生產之ALD TaN阻障膜的無電Cu晶種層。In recent years, compared to SiC-coated copper, a cobalt alloy coating such as CoWP (cobalt-tungsten phosphide), CoWB (cobalt-tungsten boride), or CoWBP (cobalt-tungsten-boride) is used before the SiC dielectric barrier layer. Covering copper has been shown to significantly improve electromigration. 1 shows that cobalt alloy cap layers 20, 30 are deposited overlying copper layers 23, 33, respectively, and dielectrically overlying SiC layers 25, 35. The Ta and/or TaN barrier layers are shown as layers 24, 34. The cobalt alloy layers 20, 30 improve the adhesion between the copper layers 23, 33 and the SiC cap layers 25, 35. The cobalt alloy layers 20, 30 may also exhibit some degree of copper diffusion barrier properties. This cobalt alloy coating layer can be selectively deposited on copper by electroless deposition. However, electroless deposition is inhibited by thin copper oxide which can form when copper is exposed to air. Moreover, contaminants on the surface of the copper and dielectric layers can cause pattern-dependent plating effects, including: the pattern-dependent thickness of the Co alloy; and in part due to the "planning" period required to initiate the Co plating reaction. The pattern caused by the etching performed is dependent on the copper wire thickness loss. Therefore, it is important to control the processing environment to limit (or control) the growth of the original copper oxide, and to remove copper oxide and organic contaminants on the copper surface and to be in the dielectric immediately before depositing a metal coating such as a cobalt alloy. Organic and metallic contaminants on the surface of the layer are also important. Also, in order to reduce the variability of pattern dependent deposition, the surface of the dielectric layer must be controlled to normalize its effect on different pattern densities. For ensuring good interface adhesion and good EM performance, between coppers 23, 33, between copper and barrier layers (between 33 and 34, between 23 and 24), and for example, a cobalt alloy layer 20 The metal-to-metal interface of the adhesion promoting layer (or metal cladding layer) of 30 is critical. Moreover, since the metal lines become narrower, the physical vapor deposition (PVD) barrier and the seed crystal film form a larger portion of the metal lines, thereby increasing the effective resistivity and current density. Thin and conformal barriers and seed layers can alleviate this tendency by providing a stepped coverage of the positive shape and an acceptable resistance with an atomic layer deposition (ALD) barrier layer (TaN, Ru or hybrid combination). Barrier properties; while electroless Cu treatment provides a positive seed layer. However, to date, there has been no electroless Cu seed layer that can be attached to the produced ALD TaN barrier film.

就上述觀點而言,存在有可生產具有改善電致遷移性能、低表面電阻、以及對於銅互連線之改善介面附著性之金屬對金屬介面的需求。In view of the above, there is a need to produce a metal-to-metal interface with improved electromigration performance, low surface resistance, and improved interface adhesion to copper interconnects.

大體而言,本發明實施例可藉由提供改善的處理及系統而符合此需求,這些處理及系統可生產改善的金屬對金屬介面或矽對金屬介面,以增強電致遷移性能、提供低金屬電阻率、以及改善對於銅互連線的金屬對金屬或矽對金屬介面附著性。吾人應明白本發明可用許多方式加以實現,其包含例如解答、方法、處理、設備、或系統。以下說明數個本發明實施例。In general, embodiments of the present invention meet this need by providing improved processes and systems that can produce improved metal-to-metal interfaces or germanium-to-metal interfaces to enhance electromigration performance and provide low metal Resistivity, and improved metal-to-metal or germanium-to-metal interface adhesion to copper interconnects. It will be apparent to those skilled in the art that the present invention can be implemented in many ways, including, for example, solutions, methods, processes, devices, or systems. Several embodiments of the invention are described below.

在一實施例中,提供一種製備基板表面的方法,此方法係在一整合系統中選擇性地沉積鈷合金材料的薄層於此基板之銅互連線的銅表面上,以改善此銅互連線的電致遷移性能,此方法包含:在此整合系統中從此基板表面移除污染物及金屬氧化物;以及在此整合系統中移除污染物及金屬氧化物之後,使用還原環境重建此基板表面。此方法亦包含:在重建此基板表面之後,於此整合系統中選擇性地沉積此鈷合金材料的薄層於此銅互連線的銅表面上。In one embodiment, a method of preparing a surface of a substrate is provided by selectively depositing a thin layer of a cobalt alloy material on a copper surface of a copper interconnect of the substrate in an integrated system to improve the copper mutual Wired electromigration performance, the method comprising: removing contaminants and metal oxides from the surface of the substrate in the integrated system; and re-using the reducing environment after removing contaminants and metal oxides in the integrated system The surface of the substrate. The method also includes selectively depositing a thin layer of the cobalt alloy material on the copper surface of the copper interconnect line in the integrated system after reconstructing the surface of the substrate.

在另一實施例中,提供一種在控制環境中運送及處理基板的整合系統,此系統可使鈷合金的薄層選擇性地沉積在銅互連線的銅表面上,以改善此銅互連線的電致遷移性能,此整合系統包含:一實驗室環境運送室,可將此基板從耦合至此實驗室環境運送室的一基板載具(cassette)送進此整合系統;以及一基板清理反應器,耦合至此實驗室環境運送室,其中此基板清理反應器可清潔此基板表面以移除位於此基板表面上的金屬有機錯合污染物。In another embodiment, an integrated system for transporting and processing substrates in a controlled environment is provided that selectively deposits a thin layer of cobalt alloy on a copper surface of a copper interconnect to improve the copper interconnect The electromigration performance of the wire, the integrated system comprising: a laboratory environment transport chamber for feeding the substrate from a substrate carrier coupled to the laboratory environment transport chamber into the integrated system; and a substrate cleaning reaction And coupled to the laboratory environment transport chamber, wherein the substrate cleaning reactor cleans the surface of the substrate to remove metal-organic mis-contaminants on the surface of the substrate.

此系統亦包含:一真空運送室,在小於1 Torr的真空壓力下操作;以及一真空處理模組,用以從此基板表面移除有機污染物,其中至少一真空處理模組耦合至此真空運送室且用以移除有機污染物的此真空處理模組係耦合至此真空運送室之此至少一真空處理模組的其中之一,並且在小於1 Torr的真空壓力下操作。此系統更包含:一控制環境運送室,以選自惰性氣體群組的一惰性氣體對其進行填充,並且至少一控制環境處理模組耦合至此控制環境運送室。此外,此系統包含:一無電鈷合金材料沉積處理模組,在此基板表面已移除金屬污染物及有機污染物,以及此銅表面已移除銅氧化物之後,用以沉積此鈷合金的薄層於此銅互連線的此銅表面上,此無電鈷合金材料沉積處理模組為耦合至此控制環境運送室之至少一控制環境處理模組的其中之一,且以選自惰性氣體群組的一惰性氣體對其進行填充,並且具有一液體輸送系統,於此液體輸送系統中處理液體係經過去氣化。The system also includes: a vacuum transfer chamber operating at a vacuum pressure of less than 1 Torr; and a vacuum processing module for removing organic contaminants from the surface of the substrate, wherein at least one vacuum processing module is coupled to the vacuum transfer chamber And the vacuum processing module for removing organic contaminants is coupled to one of the at least one vacuum processing module of the vacuum transfer chamber and operates at a vacuum pressure of less than 1 Torr. The system further includes: a control environment transport chamber that is filled with an inert gas selected from the group of inert gases, and at least one control environmental processing module coupled to the control environment transport chamber. In addition, the system comprises: an electroless cobalt alloy material deposition processing module, wherein the surface of the substrate has been removed from metal contaminants and organic contaminants, and the copper surface has been removed from the copper oxide to deposit the cobalt alloy. a thin layer on the copper surface of the copper interconnect, the electroless cobalt alloy material deposition processing module is one of at least one control environment processing module coupled to the control environment transport chamber, and is selected from the group consisting of inert gases The group is filled with an inert gas and has a liquid delivery system in which the treatment liquid system is degassed.

在另一實施例中,提供一種製備基板表面的方法,此方法係在一整合系統中沿著此基板之銅互連線結構沉積一金屬阻障層,並且沉積一薄銅晶種層於此金屬阻障層的表面上,以改善此銅互連線的電致遷移性能。此方法包含在此整合系統中清潔一下層金屬的曝露表面以移除表面金屬氧化物。此下層金屬係與此銅互連線電性連接之一下層互連的部份。此方法亦包含在此整合系統中沿著此銅互連線結構沉積此金屬阻障層。在沉積此金屬阻障層之後,此基板在控制環境中進行運送及處理,以防止此金屬阻障氧化物的形成。此方法更包含在此整合系統中沉積此薄銅晶種層,以及在此整合系統中沉積一溝填銅層覆蓋於此薄銅晶種層的上方。In another embodiment, a method of preparing a substrate surface is provided by depositing a metal barrier layer along a copper interconnect structure of the substrate in an integrated system and depositing a thin copper seed layer thereon. The surface of the metal barrier layer is used to improve the electromigration performance of the copper interconnect. This method involves cleaning the exposed surface of the underlying metal in this integrated system to remove surface metal oxides. The underlying metal is the portion of the underlying interconnect that is electrically connected to the copper interconnect. The method also includes depositing the metal barrier layer along the copper interconnect structure in the integrated system. After depositing the metal barrier layer, the substrate is transported and processed in a controlled environment to prevent formation of the metal barrier oxide. The method further includes depositing the thin copper seed layer in the integrated system, and depositing a trench copper layer over the thin copper seed layer in the integrated system.

在另一實施例中,提供一種製備基板之金屬阻障表面的方法,此方法係在一整合系統中沉積一薄銅晶種層於一銅互連線結構之金屬阻障層的表面上,以改善此銅互連線結構的電致遷移性能。此方法包含:在此整合系統中還原此金屬阻障層的表面以使位於此金屬阻障層之表面上的金屬阻障氧化物產生轉變,而讓此金屬阻障層的表面富含金屬。此方法亦包含在此整合系統中沉積此薄銅晶種層以及在此整合系統中沉積一溝填銅層覆蓋於此薄銅晶種層的上方。In another embodiment, a method of preparing a metal barrier surface of a substrate is provided by depositing a thin copper seed layer on a surface of a metal barrier layer of a copper interconnect structure in an integrated system, To improve the electromigration performance of this copper interconnect structure. The method comprises: reducing the surface of the metal barrier layer in the integrated system to cause a transition of the metal barrier oxide on the surface of the metal barrier layer, and leaving the surface of the metal barrier layer rich in metal. The method also includes depositing the thin copper seed layer in the integrated system and depositing a trench copper layer over the thin copper seed layer in the integrated system.

在另一實施例中,提供一種在控制環境中處理基板的整合系統,此系統可使一薄銅晶種層沉積在一銅互連線之金屬阻障層的表面上。此整合系統包含一實驗室環境運送室,其可將此基板從耦合至此實驗室環境運送室的一基板載具送進此整合系統。此整合系統亦包含一真空運送室,其係在小於1 Torr的真空壓力下操作。此整合系統更包含一真空處理模組,其用以在此整合系統中清理一下層金屬之曝露表面的金屬氧化物。至少一真空處理模組耦合至此真空運送室。此下層金屬為一下層互連的部份,此銅互連線與此下層互連電性連接。用以清理的此真空處理模組係耦合至此真空運送室之此至少一真空處理模組的其中之一,並且在小於1 Torr的真空壓力下操作。In another embodiment, an integrated system for processing a substrate in a controlled environment is provided that deposits a thin copper seed layer on the surface of a metal barrier layer of a copper interconnect. The integrated system includes a laboratory environment transport chamber that can feed the substrate into the integrated system from a substrate carrier coupled to the laboratory environment transport chamber. The integrated system also includes a vacuum transfer chamber that operates at a vacuum pressure of less than 1 Torr. The integrated system further includes a vacuum processing module for cleaning the metal oxide of the exposed surface of the underlying metal in the integrated system. At least one vacuum processing module is coupled to the vacuum transfer chamber. The underlying metal is the portion of the underlying interconnect that is electrically connected to the underlying interconnect. The vacuum processing module for cleaning is coupled to one of the at least one vacuum processing module of the vacuum transfer chamber and operates at a vacuum pressure of less than 1 Torr.

此外,此整合系統包含一真空處理模組,其用以沉積此金屬阻障層。用以沉積此金屬阻障層的此真空處理模組係耦合至此真空運送室之此至少一真空處理模組的其中之一,並且在小於1 Torr的真空壓力下操作。此外,此整合系統包含一控制環境運送室,以選自惰性氣體群組的一惰性氣體對其進行填充。至少一控制環境處理模組耦合至此控制環境運送室。此整合系統更包含一無電銅沉積處理模組,其用以沉積此銅晶種層的薄層於此金屬阻障層的表面上。此無電銅沉積處理模組為耦合至此控制環境運送室之此至少一控制環境處理模組的其中之一。In addition, the integrated system includes a vacuum processing module for depositing the metal barrier layer. The vacuum processing module for depositing the metal barrier layer is coupled to one of the at least one vacuum processing module of the vacuum transfer chamber and operates at a vacuum pressure of less than 1 Torr. In addition, the integrated system includes a controlled environment transport chamber that is filled with an inert gas selected from the group of inert gases. At least one control environment processing module is coupled to the control environment transport chamber. The integrated system further includes an electroless copper deposition processing module for depositing a thin layer of the copper seed layer on the surface of the metal barrier layer. The electroless copper deposition processing module is one of the at least one control environment processing module coupled to the control environment transport chamber.

在另一實施例中,提供一種在控制環境中處理基板的整合系統,此系統可使一薄銅晶種層沉積於一銅互連線之金屬阻障層的表面上。此系統包含一實驗室環境運送室,其可將此基板從耦合至此實驗室環境運送室的一基板載具送進此整合系統。此整合系統亦包含一真空運送室,其係在小於1 Torr的真空壓力下操作。至少一真空處理模組耦合至此真空運送室。In another embodiment, an integrated system for processing a substrate in a controlled environment is provided that deposits a thin copper seed layer on the surface of a metal barrier layer of a copper interconnect. The system includes a laboratory environment transport chamber that can feed the substrate from the substrate carrier coupled to the laboratory environment transport chamber into the integrated system. The integrated system also includes a vacuum transfer chamber that operates at a vacuum pressure of less than 1 Torr. At least one vacuum processing module is coupled to the vacuum transfer chamber.

此整合系統更包含一真空處理模組,其用以還原此金屬阻障層。用以還原此金屬阻障層的此真空處理模組係耦合至此真空運送室之此至少一真空處理模組的其中之一,並且在小於1 Torr的真空壓力下操作。此外,此整合系統包含一控制環境運送室,以選自惰性氣體群組的一惰性氣體對其進行填充。至少一控制環境處理模組耦合至此控制環境運送室。此外,此整合系統包含一無電銅沉積處理模組,其用以沉積此銅晶種層的薄層於此金屬阻障層的表面上。此無電銅沉積處理模組為耦合至此控制環境運送室之此至少一控制環境處理模組的其中之一。The integrated system further includes a vacuum processing module for reducing the metal barrier layer. The vacuum processing module for reducing the metal barrier layer is coupled to one of the at least one vacuum processing module of the vacuum transfer chamber and operates at a vacuum pressure of less than 1 Torr. In addition, the integrated system includes a controlled environment transport chamber that is filled with an inert gas selected from the group of inert gases. At least one control environment processing module is coupled to the control environment transport chamber. In addition, the integrated system includes an electroless copper deposition processing module for depositing a thin layer of the copper seed layer on the surface of the metal barrier layer. The electroless copper deposition processing module is one of the at least one control environment processing module coupled to the control environment transport chamber.

在另一實施例中,提供一種製備基板表面的方法,此方法係在一整合系統中選擇性地沉積一金屬層於此基板之矽或多晶矽表面上,以形成金屬矽化層。此方法包含:在此整合系統中從此基板表面移除有機污染物;以及在移除有機污染物之後,於此整合系統中還原此矽或多晶矽表面,以將位於此矽或多晶矽表面上的矽氧化物轉變成矽。在還原此矽或多晶矽表面之後,此基板在控制環境中進行運送及處理,以防止此矽氧化物的形成,還原此矽或多晶矽表面以增加位於此矽表面上之金屬的選擇性。此方法亦包含在還原此矽或多晶矽表面之後,於此整合系統中選擇性地沉積此金屬層於此基板之此矽或多晶矽表面上。In another embodiment, a method of preparing a surface of a substrate is provided by selectively depositing a metal layer on the surface of the substrate or polysilicon of the substrate in an integrated system to form a metal deuterated layer. The method includes: removing organic contaminants from the surface of the substrate in the integrated system; and, after removing the organic contaminants, reducing the surface of the germanium or polysilicon in the integrated system to place germanium on the surface of the germanium or polysilicon The oxide is converted into helium. After the surface of the tantalum or polysilicon is reduced, the substrate is transported and processed in a controlled environment to prevent the formation of the tantalum oxide, reducing the surface of the tantalum or polysilicon to increase the selectivity of the metal on the surface of the tantalum. The method also includes selectively depositing the metal layer on the surface of the germanium or polysilicon of the substrate after the surface of the germanium or polysilicon is reduced.

在另一實施例中,提供一種在控制環境中處理基板的整合系統,此系統可使一金屬層選擇性地沉積在此基板的矽表面上,以形成一金屬矽化層。此系統包含一實驗室環境運送室,其可將此基板從耦合至此實驗室環境運送室的一基板載具送進此整合系統。此整合系統亦包含一真空運送室,其係在小於1 Torr的真空壓力下操作。此整合系統更包含一真空處理模組,其用以從此基板表面移除有機污染物。至少一處理真空模組耦合至此真空運送室。用以移除有機污染物的此真空處理模組係耦合至此真空運送室之此至少一真空處理模組的其中之一,並且在小於1 Torr的真空壓力下操作。In another embodiment, an integrated system for processing a substrate in a controlled environment is provided that selectively deposits a metal layer on the surface of the substrate to form a metal deuterated layer. The system includes a laboratory environment transport chamber that can feed the substrate from the substrate carrier coupled to the laboratory environment transport chamber into the integrated system. The integrated system also includes a vacuum transfer chamber that operates at a vacuum pressure of less than 1 Torr. The integrated system further includes a vacuum processing module for removing organic contaminants from the surface of the substrate. At least one processing vacuum module is coupled to the vacuum transfer chamber. The vacuum processing module for removing organic contaminants is coupled to one of the at least one vacuum processing module of the vacuum transfer chamber and operates at a vacuum pressure of less than 1 Torr.

此外,此整合系統包含一真空處理模組,其用以還原此矽表面。至少一用以還原此矽表面的此真空處理模組耦合至此真空運送室,並且在小於1 Torr的真空壓力下操作。此外,此整合系統包含一控制環境運送室,以選自惰性氣體群組的一惰性氣體對其進行填充,並且至少一控制環境處理模組耦合至此控制環境運送室。此整合系統更包含一無電金屬沉積處理模組,在已還原此矽表面之後其用以選擇性地沉積此金屬的薄層於此矽表面上,此無電金屬沉積處理模組為耦合至此控制環境運送室之此至少一控制環境處理模組的其中之一。In addition, the integrated system includes a vacuum processing module for restoring the surface of the crucible. At least one vacuum processing module for reducing the surface of the crucible is coupled to the vacuum transfer chamber and operates at a vacuum pressure of less than 1 Torr. Additionally, the integrated system includes a control environment transport chamber that is filled with an inert gas selected from the group of inert gases, and at least one control environment processing module is coupled to the control environment transport chamber. The integrated system further includes an electroless metal deposition processing module for selectively depositing a thin layer of the metal on the surface of the crucible after the surface of the crucible has been restored, the electroless metal deposition processing module being coupled to the control environment At least one of the transport chambers controls one of the environmental processing modules.

本發明之其他實施樣態及優點可從下列結合隨附圖式並且經由顯示本發明之原理的詳細說明而更顯明白。Other embodiments and advantages of the present invention will be apparent from the description and appended claims.

本發明提供改善之金屬整合技術的數個示範實施例,這些改善的技術可藉由移除介面金屬氧化物以改善電致遷移金屬電阻率及介面附著性的降低,而修改金屬的介面。吾人應明白本發明可用許多方式加以實現,包含程序、方法、設備、或系統。以下說明本發明的數個發明實施例。熟習本項技藝者將明白:本發明可在沒有某些或全部於此所提及之特定細節的情況下加以實施。The present invention provides several exemplary embodiments of improved metal integration techniques that modify the interface of the metal by removing the interface metal oxide to improve the electromigration metal resistivity and interface adhesion. It will be understood by those skilled in the art that the present invention can be implemented in many ways, including a program, method, apparatus, or system. Several embodiments of the invention are described below. It will be apparent to those skilled in the art that the present invention may be practiced without some or all of the specific details mentioned herein.

圖2A顯示在使用雙金屬鑲嵌雕刻處理程序進行圖型化之後的互連結構示範橫剖面。互連結構位於基板50上,並且具有介電層100,此介電層事先被製造以在其中形成金屬化導線101。此金屬化導線典型上係藉由朝介電層100內蝕刻一溝槽,然後以例如銅的導電材料填充而製成。2A shows an exemplary cross-section of an interconnect structure after patterning using a dual damascene engraving process. The interconnect structure is on the substrate 50 and has a dielectric layer 100 that is previously fabricated to form metallized wires 101 therein. The metallized wire is typically formed by etching a trench into the dielectric layer 100 and then filling it with a conductive material such as copper.

在此溝槽中,存在有阻障層120,其用以防止銅材料122擴散進入介電層100。阻障層120可以係由PVD氮化鉭(TaN)、PVD鉭(Ta)、ALD TaN、或這些膜的組合所構成。吾人亦可使用其他的阻障層材料。阻障層102沉積覆蓋在平坦化銅材料122的上方,以於蝕刻通孔洞114而穿透覆蓋介電材料104、106至阻障層102時,保護銅材料122免於過早氧化。阻障層102之功能亦在於作為選擇性蝕刻終止層及銅擴散阻障層。阻障層102的例示材料包含氮化矽(SiN)或碳化矽(SiC)。In this trench, a barrier layer 120 is present to prevent diffusion of the copper material 122 into the dielectric layer 100. The barrier layer 120 may be composed of PVD tantalum nitride (TaN), PVD tantalum (Ta), ALD TaN, or a combination of these films. We can also use other barrier materials. The barrier layer 102 is deposited over the planarized copper material 122 to protect the copper material 122 from premature oxidation when the vias 114 are etched through the insulating dielectric material 104, 106 to the barrier layer 102. The barrier layer 102 also functions as a selective etch stop layer and a copper diffusion barrier layer. An exemplary material of the barrier layer 102 comprises tantalum nitride (SiN) or tantalum carbide (SiC).

通孔介電層104係沉積覆蓋在阻障層102的上方。通孔介電層104可以係由有機矽玻璃(OSG,organo-silicate glass,掺雜碳的氧化矽),或係由最好具有低介電常數之其他類型的介電材料所構成。示範的二氧化矽可包含:電漿增強化學氣相沉積(PECVD,plasma enhanced chemical vapor deposition)未掺雜的四乙氧基矽烷(TEOS,tetraethoxysilane)二氧化矽、PECVD掺氟的矽玻璃(FSG,fluorinated silica glass)、高密度電漿(HDP,high density plasma)FSG、有機矽玻璃(OSG,organo-silicate glass)、多孔隙OSG等等。吾人亦可使用商業上市售的介電材料包含:California,Santa Clara,Applied Materials所販賣的Black Diamond(I)及Black Diamond(Ⅱ);San Jose,Novellus Systems所販賣的Coral;Arizona,Phoenix,ASM America Inc.所販賣的Aurora。溝槽介電層106係覆蓋於通孔介電層104的上方。溝槽介電層106可以係低介電常數(low k)的介電材料,例如掺雜碳的氧化物(C-氧化物)。low k介電材料的介電常數可以係約3.0以下。在一實施例中,通孔及溝槽介電層係同時由相同的材料所構成,並且在同時進行沉積而形成連續膜。在沉積溝槽介電層106之後,容納這些結構的基板50經由已知的技術而接受圖型化及蝕刻處理以形成通孔洞114及溝槽116。The via dielectric layer 104 is deposited over the barrier layer 102. The via dielectric layer 104 may be composed of an organo-silicate glass (OSG) or other type of dielectric material preferably having a low dielectric constant. Exemplary cerium oxide may include: plasma enhanced chemical vapor deposition (PECVD), undoped tetraethoxysilane (TEOS), PECVD fluorine-doped bismuth glass (FSG) , fluorinated silica glass), high density plasma (HDP) FSG, organo-silicate glass (OSG), porous OSG, and the like. We can also use commercially available dielectric materials including: Black Diamond (I) and Black Diamond (II) sold by California, Santa Clara, Applied Materials; Coral sold by San Jose, Novellus Systems; Arizona, Phoenix, ASM Aurora sold by America Inc. The trench dielectric layer 106 overlies the via dielectric layer 104. The trench dielectric layer 106 can be a low dielectric constant (low k) dielectric material such as a carbon doped oxide (C-oxide). The low k dielectric material may have a dielectric constant of about 3.0 or less. In one embodiment, the via and trench dielectric layers are simultaneously composed of the same material and are deposited simultaneously to form a continuous film. Subsequent to deposition of trench dielectric layer 106, substrate 50 containing these structures is subjected to patterning and etching processes to form vias 114 and trenches 116 via known techniques.

圖2B顯示在形成通孔洞114及溝槽116之後沿著通孔洞114及溝槽116沉積阻障層130及銅層132並且填滿此通孔洞及溝槽。阻障層130可以係由氮化鉭(TaN)、鉭(Ta)、釕(Ru)、或這些膜的混合組合所構成。雖然這些係一般認為的材料,但吾人亦可使用其他阻障層材料。然後沉積銅膜132以填滿通孔洞114及溝槽116。2B shows that after the via holes 114 and the trenches 116 are formed, the barrier layer 130 and the copper layer 132 are deposited along the via holes 114 and the trenches 116 and fill the via holes and trenches. The barrier layer 130 may be composed of tantalum nitride (TaN), tantalum (Ta), ruthenium (Ru), or a mixed combination of these films. Although these are generally considered materials, we can also use other barrier materials. A copper film 132 is then deposited to fill the vias 114 and trenches 116.

如圖2C所示,在銅膜132填滿通孔洞114及溝槽116之後,吾人藉由化學機械研磨(CMP,chemical-mechanical polishing)將基板50平坦化以移除覆蓋於介電層106表面上方的銅材料(或銅過覆層)以及阻障層(或阻障過覆層)。如圖2D所示,下一個步驟係以例如鈷合金的銅/SiC介面附著促進層135覆蓋銅表面140。鈷合金的範例包含:CoWP、CoWB、或CoWBP,其可藉由無電處理而選擇性地沉積覆蓋在銅的上方。此附著促進層的厚度可以係薄至單一分子層,其僅有數埃,例如5埃;而對於較厚層而言,其可例如係200埃至300埃,其亦可作為Cu的擴散阻障層,如此則不需要介電覆蓋。As shown in FIG. 2C, after the copper film 132 fills the via hole 114 and the trench 116, the substrate 50 is planarized by chemical-mechanical polishing (CMP) to remove the surface of the dielectric layer 106. The copper material (or copper overlying layer) and the barrier layer (or barrier overlying layer). As shown in FIG. 2D, the next step covers the copper surface 140 with a copper/SiC interface adhesion promoting layer 135 such as a cobalt alloy. Examples of cobalt alloys include: CoWP, CoWB, or CoWBP, which can be selectively deposited over copper by electroless treatment. The thickness of the adhesion promoting layer may be as thin as a single molecular layer, which is only a few angstroms, for example 5 angstroms; and for a thicker layer, it may be, for example, 200 angstroms to 300 angstroms, which may also serve as a diffusion barrier for Cu. Layer, so no dielectric coverage is required.

銅的化學機械研磨(CMP)經常使用苯並三唑(BTA,benzotrizole)作為銅腐蝕抑制劑。銅與BTA形成Cu-BTA錯合物。已透過Cu CMP與後CMP清理所處理的基板會包含Cu-BTA錯合物形式的銅殘留物,此如圖3之空心圓形所示,同時位於Cu線及鄰接的介電層上。吾人必需移除位於介電層上的Cu-BTA錯合物以防止漏電流及金屬短路的增加。又,除了如圖3之實心圓形所示之各種不同的有機污染物之外,會小量存在如圖3之空心三角形所示之Ta或其他阻障材料的殘留物。除了這些污染物之外,亦存在有各種不同的金屬氧化物,主要有CuO及CuO2 ,此如圖3之實心三角形所示。Cu-BTA錯合物、金屬氧化物、以及有機污染物係三種必需從基板表面移除的主要表面污染物。製備無有機污染物及不含金屬化合物之污染物的介電層表面及金屬表面係正接受挑戰,並且需要可同時包含乾式及溼式處理的多重表面製備步驟。Chemical mechanical polishing (CMP) of copper often uses benzotrizole (BTA) as a copper corrosion inhibitor. Copper forms a Cu-BTA complex with BTA. The substrate that has been treated by Cu CMP and post-CMP cleaning will contain a copper residue in the form of a Cu-BTA complex, as shown by the hollow circles in Figure 3, on both the Cu line and the adjacent dielectric layer. We must remove the Cu-BTA complex on the dielectric layer to prevent leakage current and metal short circuit increase. Further, in addition to the various organic contaminants shown by the solid circles in Fig. 3, there is a small amount of residue of Ta or other barrier material as shown by the hollow triangle of Fig. 3. In addition to these contaminants, there are also various metal oxides, mainly CuO and CuO 2 , as shown by the solid triangle in FIG. Cu-BTA complexes, metal oxides, and organic contaminants are three major surface contaminants that must be removed from the substrate surface. The preparation of dielectric layer surfaces and metal surface systems that are free of organic contaminants and metal compound-free contaminants are challenging and require multiple surface preparation steps that can include both dry and wet processing.

以下係數個可提供下層金屬進行表面製備的示範處理流程及系統,這些處理及系統可使上覆金屬層在此兩金屬層之間具有良好附著性的情況下沉積於頂端。藉由示範處理流程及系統所沉積的這些金屬層會表現出改善的EM性能且因而具有整體較低的金屬電阻率。The following coefficients provide an exemplary process and system for surface preparation of the underlying metal that allows the overlying metal layer to be deposited on top of the top with good adhesion between the two metal layers. These metal layers deposited by the exemplary process flow and system will exhibit improved EM performance and thus overall lower metal resistivity.

1.安排鈷合金沉積用的銅表面1. Arranging the copper surface for cobalt alloy deposition 情況I:金屬CMP停止在介電層上時Case I: When metal CMP stops on the dielectric layer

圖4A顯示用以無電沉積鈷合金於圖2C所示之雙金屬鑲嵌通孔-溝槽結構的後CMP銅表面140上方的處理流程實施例。用於圖4A之處理流程400的基板剛已完成金屬CMP處理而移除銅及阻障層的過覆層,例如Ta及/或TaN。如以上關於圖3之內容所述,在基板表面上存在有各種不同的金屬及有機污染物。4A shows an embodiment of a process flow for electroless deposition of a cobalt alloy over the back CMP copper surface 140 of the dual damascene via-trench structure shown in FIG. 2C. The substrate used in the process flow 400 of FIG. 4A has just completed the metal CMP process to remove overlying layers of copper and barrier layers, such as Ta and/or TaN. As described above with respect to Figure 3, various different metals and organic contaminants are present on the surface of the substrate.

此程序起始於步驟401,於其中從基板表面移除例如Cu-BTA錯合物的金屬-有機錯合污染物(或錯合的金屬-有機污染物),以及金屬氧化物。雖然金屬污染物將同時從銅及介電層表面移除,但此步驟之目的為增加選擇性,並且藉由消除稍後可作為後續Co合金沉積之成核位置的潛在金屬來源而改善Co膜的形態。在此步驟期間,吾人可從基板表面移除銅-BTA錯合物、銅氧化物(CuOx )以及例如鉭氧化物(TaOy )的其他金屬氧化物。待移除之銅氧化物的量係取決於污染的程度以及在表面上之金屬氧化物的深度。金屬錯合物及金屬氧化物可藉由O2 /Ar濺鍍處理,或1段式的溼式化學移除處理或連續2段式的溼式化學處理加以移除。較佳實施例係使用溼式處理移除錯合金屬及金屬氧化物。此溼式化學移除處理可使用:有機酸,例如由Japan,Kanto Chemical Co.,Inc.所提供的DeerClean;或半水(semi-aqueous)溶劑,例如由Delaware,Wilmington,DuPont所提供的ESC 5800;有機鹼,例如氫氧化四甲銨(TMAH,tetramethylammonium hydroxide);錯合胺,例如乙二胺(ethylene diamine)、二乙烯三胺(diethylene triamine);或專利化學品,例如由Connecticut,West Haven,Enthone,Inc.所提供的ELD clean and Cap Clean 61。從介電層表面移除Cu-BTA可確保:來自Cu-BTA錯合物的銅不會氧化成銅氧化物以及隨後在其他表面製備步驟期間還原成銅;不會降低選擇性以及在介電層表面上提供可於其上成長Co合金的成核點;不會造成短路以及增加漏電流。因此,Cu-BTA移除處理亦可降低由於金屬短路或漏電流的良率損失。The process begins in step 401 where metal-organic miscellaneous contaminants (or mismatched metal-organic contaminants) such as Cu-BTA complexes, as well as metal oxides, are removed from the surface of the substrate. Although metal contaminants will be removed from both the copper and dielectric surface, the purpose of this step is to increase selectivity and improve the Co film by eliminating potential metal sources that can later serve as nucleation sites for subsequent Co alloy deposition. Shape. During this step, I -BTA copper complexes may be removed from the substrate surface, the copper oxide (CuO x), and, for example, tantalum oxide (TaO y) of the other metal oxides. The amount of copper oxide to be removed depends on the extent of contamination and the depth of the metal oxide on the surface. The metal complex and the metal oxide can be removed by O 2 /Ar sputtering treatment, or a one-stage wet chemical removal treatment or a continuous two-stage wet chemical treatment. The preferred embodiment uses a wet process to remove the miscible metal and metal oxide. This wet chemical removal treatment may use: an organic acid such as DeerClean supplied by Japan, Kanto Chemical Co., Inc.; or a semi-aqueous solvent such as ESC supplied by Delaware, Wilmington, DuPont. 5800; an organic base, such as tetramethylammonium hydroxide (TMAH); a miscamine such as ethylene diamine, diethylene triamine; or a patented chemical, such as by Connecticut, West Haven, Enthone, Inc. provides ELD clean and Cap Clean 61. Removal of Cu-BTA from the surface of the dielectric layer ensures that copper from the Cu-BTA complex does not oxidize to copper oxide and subsequently reduces to copper during other surface preparation steps; does not reduce selectivity and is dielectric A nucleation point on which the Co alloy can be grown is provided on the surface of the layer; no short circuit is caused and leakage current is increased. Therefore, the Cu-BTA removal process can also reduce the yield loss due to metal short circuit or leakage current.

Cu-BTA錯合物及其他的金屬氧化污染物係兩種在此步驟期間待移除的主要金屬污染物,其可在控制或未控制的周圍(環境)中完成。舉例而言,Cu-BTA可藉由溼式清理處理加以移除,此清理處理包含:清理液,其包含氫氧化四甲銨(TMAH,tetramethylammonium hydroxide);錯合胺,例如乙二胺、二乙烯三胺;或專利清理化學品,例如由Connecticut,West Haven之Enthone,Inc.所提供的ELD clean and Cap Clean 61。金屬氧化物,尤其係銅氧化物,可使用例如檸檬酸的弱有機酸,或其他可被使用的有機或無機酸加以移除。此外,吾人亦可使用含非常稀薄(即<0.1%)之過氧化物的酸,例如硫酸-過氧化物混合物(sulfuric-peroxide mixtures)。此種溼式清理處理亦可移除其他金屬或金屬氧化物殘留物。Cu-BTA complexes and other metal oxide contaminants are two major metal contaminants to be removed during this step, which can be accomplished in a controlled or uncontrolled ambient (environment). For example, Cu-BTA can be removed by a wet cleaning process comprising: a cleaning solution comprising tetramethylammonium hydroxide (TMAH); a miscamine such as ethylenediamine, Ethylene triamine; or a patented cleaning chemical such as ELD clean and Cap Clean 61 supplied by Enthone, Inc. of Connecticut, West Haven. Metal oxides, especially copper oxides, can be removed using weak organic acids such as citric acid, or other organic or inorganic acids that can be used. In addition, we can also use acids containing very thin (i.e., <0.1%) peroxides, such as sulfuric-peroxide mixtures. This wet cleaning process also removes other metal or metal oxide residues.

於不同圖案或不同特徵部類型(例如小而密、小而個別獨立或寬的銅線)之銅線上的BTA存在,係這些線的保護結果,其量在某程度上係與發生在這些特徵部上之賈凡尼效應(galvanic effect)的程度相關。此會導致圖案相依之保護層的形成。此種相依性會進一步影響Co合金的沉積特性,而導致圖案相依的沉積特性,有時稱為累積效應(incubation effect)或起始效應(initiation effect)。從Cu線移除BTA可幫助消除此種(待於隨後步驟沉積之)鈷合金之圖案相依的沉積效應,並且在緊密及個別獨立的特徵部中提供均勻的鈷合金沉積。The presence of BTA on copper lines of different patterns or different feature types (such as small, dense, small, individually independent or wide copper wires) is the result of the protection of these lines, to some extent occurring in these characteristics. The extent of the galvanic effect on the ministry is related. This can result in the formation of a protective layer that is dependent on the pattern. This dependence further affects the deposition characteristics of the Co alloy, resulting in pattern dependent deposition characteristics, sometimes referred to as an incubation effect or an initiation effect. The removal of BTA from the Cu wire can help eliminate the pattern-dependent deposition effects of this cobalt alloy (to be deposited in a subsequent step) and provide uniform cobalt alloy deposition in tight and individual independent features.

吾人可藉由例如步驟403之含氧電漿處理的氧化電漿以移除有機污染物。氧氣(O2 )電漿處理較佳係在小於120℃的相當低溫下實施。高溫O2 電漿處理會將銅氧化成較厚的層,於後此層會更加難以還原。因此,最好係低溫O2 電漿處理。在一實施例中,O2 電漿處理可以係下游電漿處理。或者,吾人亦可使用為物理地移除有機污染物的O2 /Ar濺鍍處理,而移除有機殘留物(或污染物)。O2 電漿處理以及O2 /Ar濺鍍處理典型上係在低於1 Torr的壓力下進行操作。We can remove organic contaminants by, for example, an oxidizing plasma treated with an oxygen-containing plasma of step 403. The oxygen (O 2 ) plasma treatment is preferably carried out at a relatively low temperature of less than 120 ° C. High temperature O 2 plasma treatment will oxidize copper to a thicker layer, which will be more difficult to reduce later. Therefore, it is preferred to treat the low temperature O 2 plasma. In one embodiment, the O 2 plasma treatment can be a downstream plasma treatment. Alternatively, we can also use O 2 /Ar sputtering to physically remove organic contaminants while removing organic residues (or contaminants). O 2 plasma treatment and O 2 /Ar sputtering treatment are typically operated at pressures below 1 Torr.

一旦基板表面沒有例如Cu-BTA、金屬氧化物、以及其他有機污染物的污染物時,基板應曝露於儘可能無氧的環境以保護銅表面免於氧化。銅氧化並非為自限過程。銅表面所曝露於氧的量與期間應被限制(或被控制)以使銅氧化物的形成降至最低。雖然銅氧化物會在稍後的步驟中被還原,但較厚的銅氧化物層可能無法完全被還原。因此,對於必需移除有機污染物的情況,限制銅曝露於氧係重要的。為了達到對於氧的控制及限制曝露,基板應在控制的環境中被運送或處理,例如在真空下的環境或充滿惰性氣體的環境。Once the substrate surface is free of contaminants such as Cu-BTA, metal oxides, and other organic contaminants, the substrate should be exposed to an oxygen-free environment to protect the copper surface from oxidation. Copper oxidation is not a self-limiting process. The amount and duration of exposure of the copper surface to oxygen should be limited (or controlled) to minimize copper oxide formation. Although the copper oxide will be reduced in a later step, the thicker copper oxide layer may not be completely reduced. Therefore, it is important to limit the exposure of copper to the oxygen system in cases where organic contaminants must be removed. In order to achieve control of oxygen and limited exposure, the substrate should be transported or disposed of in a controlled environment, such as an environment under vacuum or an environment filled with an inert gas.

為了確保銅表面沒有銅氧化物,於步驟405吾人在還原的環境中重建基板表面,而使任何殘留的銅氧化物轉變成銅。事先的前清理步驟已將任何金屬從介電層加以移除,因此金屬還原只在銅線上進行。吾人可藉由含氫電漿處理以使銅氧化物轉變成銅(或實質上為銅),而達到銅表面的還原。可用以產生含氫電漿的示範反應性氣體包含氫氣(H2 )、氨(NH3 )、以及一氧化碳(CO)。舉例而言,藉由氫氣(H2 )、氨氣(NH3 )、或兩氣體之組合所產生的含氫電漿,並且使基板處在介於20℃與300℃之間的升高溫度下,而還原基板表面。在一實施例中,氫氣電漿處理為下游電漿處理。一旦基板經過氫氣還原處理後,此基板已做好鈷合金沉積的準備。銅表面必需被謹慎地保護以確保沒有銅氧化物的形成。如上所述,鈷合金的無電沉積會因為銅氧化物的存在而被抑制。因此,控制處理及運送的環境以使銅表面對氧的曝露降至最低係重要的。To ensure that the copper surface is free of copper oxide, in step 405 we rebuild the substrate surface in a reducing environment to convert any residual copper oxide to copper. Any prior metal cleaning steps have removed any metal from the dielectric layer, so metal reduction is only done on the copper wire. The reduction of the copper surface can be achieved by treatment with a hydrogen-containing plasma to convert the copper oxide to copper (or substantially copper). Exemplary reactive gases that can be used to produce hydrogen-containing plasma include hydrogen (H 2 ), ammonia (NH 3 ), and carbon monoxide (CO). For example, a hydrogen-containing plasma produced by hydrogen (H 2 ), ammonia (NH 3 ), or a combination of two gases, and the substrate is at an elevated temperature between 20 ° C and 300 ° C. Lower, while reducing the surface of the substrate. In one embodiment, the hydrogen plasma treatment is a downstream plasma treatment. Once the substrate has been subjected to hydrogen reduction treatment, the substrate is ready for cobalt alloy deposition. The copper surface must be carefully protected to ensure that no copper oxide is formed. As described above, the electroless deposition of the cobalt alloy is suppressed by the presence of the copper oxide. Therefore, it is important to control the handling and transport environment to minimize oxygen exposure to the copper surface.

在下一個處理步驟407,吾人將例如CoWP、CoWB或CoWBP的鈷合金無電沉積於銅表面的頂端。鈷合金的無電沉積為溼式處理,並且只沉積在催化表面上,例如銅表面。鈷合金只選擇性地沉積在銅表面上。In the next processing step 407, a cobalt alloy such as CoWP, CoWB or CoWBP is electrolessly deposited on top of the copper surface. The electroless deposition of the cobalt alloy is a wet process and is deposited only on the catalytic surface, such as a copper surface. The cobalt alloy is only selectively deposited on the copper surface.

在鈷合金的無電沉積之後,處理流程可進入可選擇之後沉積清理的處理步驟409。後沉積清理可使用具有化學溶液的刷擦清理處理而達成,例如使用包含由Pennsylvania,Allentown,Air Products and Chemicals,Inc.所供應之CP72B的溶液。吾人亦可使用其他的基板表面清理處理,例如Lam的C3TM 或P3TM 清理技術。其他的後清理化學品可包含羥胺(hydroxylamine)基的化學品以移除任何金屬基的污染物,在無電電鍍之後此種污染物可能會殘留在介電層表面。After electroless deposition of the cobalt alloy, the process flow can proceed to process step 409 where deposition cleaning can be selected. Post-deposition cleaning can be accomplished using a brush cleaning process with a chemical solution, such as a solution comprising CP72B supplied by Pennsylvania, Allentown, Air Products and Chemicals, Inc. I may also use other substrate surface cleaning process, for example, Lam, C3 TM or P3 TM cleaning technology. Other post-cleaning chemicals may include hydroxylamine-based chemicals to remove any metal-based contaminants that may remain on the surface of the dielectric layer after electroless plating.

如上所述,對於製備用於鈷合金沉積的銅表面,尤其係在銅表面進行氫氣電漿還原之後,處理及晶圓運送的環境控制係非常重要的。圖4B顯示在表面處理之後以關鍵性步驟使基板表面最小曝露於氧之示範整合系統450的概略圖。此外,由於此為整合系統,所以基板會立即從一處理站運送至下一個處理站,此可限制製備之銅表面曝露於氧的期間。整合系統450可透過圖4A之流程400的整個處理程序而用以處理基板。As noted above, for the preparation of copper surfaces for cobalt alloy deposition, especially after hydrogen plasma reduction on copper surfaces, environmental control of processing and wafer transport is very important. 4B shows an overview of an exemplary integrated system 450 that minimizes exposure of the substrate surface to oxygen in a critical step after surface treatment. Moreover, since this is an integrated system, the substrate is immediately transported from one processing station to the next, which limits the exposure of the prepared copper surface to oxygen. The integration system 450 can be used to process the substrate through the entire process of the process 400 of FIG. 4A.

如上所述,表面處理、鈷合金的無電沉積以及選用的後鈷合金沉積處理包含混合的乾式與溼式處理。溼式處理典型上係在近大氣壓下進行操作,而乾式O2 電漿、氫氣電漿、以及O2 /Ar濺鍍皆在小於1 Torr的壓力下進行操作。因此,此整合系統必需可操作混合的乾式與溼式處理。整合系統450具有3個基板運送模組(或腔室)460、470及480。運送腔室460、470及480可安裝自動控制裝置以將基板455從一處理區域移動至另一處理區域。此處理區域可以係基板載具(cassette)、反應器、或承載室(loadlock)。基板運送模組460係在實驗室環境下進行操作,此環境係關於在室溫、大氣壓力之下,並且曝露於通常以高效率空氣微粒濾器(HEPA,High Efficiency Particulate Air filter)或超高效過濾器(ULPA,Ultra Low Penetration Air Filter)所過濾之空氣的實驗室(或廠房)環境。模組460以基板裝載器(或基板載具)461作為介面,以將基板455帶入此整合系統,或者使此基板回到載具461而繼續位在系統450外部的處理。As noted above, surface treatment, electroless deposition of cobalt alloys, and selected post-cobalt alloy deposition processes include mixed dry and wet processes. Wet processing typically operates at near atmospheric pressure, while dry O 2 plasma, hydrogen plasma, and O 2 /Ar sputtering are operated at pressures less than 1 Torr. Therefore, this integrated system must be capable of operating a mix of dry and wet processes. The integration system 450 has three substrate transport modules (or chambers) 460, 470, and 480. Shipping chambers 460, 470, and 480 can be equipped with automatic controls to move substrate 455 from one processing region to another. This processing area can be a substrate carrier, a reactor, or a loadlock. The substrate transport module 460 operates in a laboratory environment with respect to room temperature, atmospheric pressure, and exposure to a high efficiency Particulate Air Filter (HEPA) or ultra high efficiency filtration. Laboratory (or plant) environment for air filtered by ULPA (Ultra Low Penetration Air Filter). Module 460 employs a substrate loader (or substrate carrier) 461 as an interface to bring substrate 455 into the integrated system, or to return the substrate to carrier 461 to continue processing external to system 450.

如以上所述在處理流程400中,如同圖2C所示,在基板已藉由金屬CMP進行平坦化以從基板表面移除過多的金屬,並且只留下金屬溝槽中的金屬之後,基板455被帶至整合系統450,以進行例如CoWB、CoWP、或CoWBP的鈷合金沉積。如處理流程400之步驟401所述,例如Cu-BTA錯合物的表面污染物以及其他金屬氧化殘留物必需從基板表面加以移除。Cu-BTA及金屬氧化物可藉由包含清理液的溼式清理處理加以移除,此清理液可例如係包含TMAH的溶液,或係包含例如但不限於乙二胺或二乙烯三胺之錯合胺的溶液。在移除BTA-金屬錯合物之後,殘留在銅及介電層表面上的金屬氧化物可使用溼式清理處理加以移除,此溼式清理處理包含清理液,例如包含檸檬酸的溶液,或可對銅更具或更不具選擇性移除銅氧化物之其他有機酸的溶液。金屬氧化物,尤其係銅氧化物,可使用例如檸檬酸的弱有機酸,或其他可被使用的有機或無機酸加以移除。此外,吾人亦可使用含非常稀薄(即<0.1%)之過氧化物的酸,例如硫酸-過氧化物混合物。此種溼式清理處理亦可移除其他的金屬或金屬氧化殘留物。As described above in process flow 400, as shown in FIG. 2C, after the substrate has been planarized by metal CMP to remove excess metal from the surface of the substrate, and only the metal in the metal trench is left, substrate 455 It is brought to an integrated system 450 for cobalt alloy deposition such as CoWB, CoWP, or CoWBP. As described in step 401 of process 400, surface contaminants such as Cu-BTA complexes, as well as other metal oxide residues, must be removed from the surface of the substrate. Cu-BTA and metal oxides may be removed by a wet cleaning process comprising a cleaning solution, which may be, for example, a solution comprising TMAH, or a fault comprising, for example, but not limited to, ethylenediamine or diethylenetriamine. A solution of the amine. After removal of the BTA-metal complex, the metal oxide remaining on the surface of the copper and dielectric layers can be removed using a wet cleaning process comprising a cleaning solution, such as a solution containing citric acid, Or a solution of other organic acids that may or may not selectively remove copper oxide from copper. Metal oxides, especially copper oxides, can be removed using weak organic acids such as citric acid, or other organic or inorganic acids that can be used. In addition, an acid containing a very thin (i.e., <0.1%) peroxide, such as a sulfuric acid-peroxide mixture, can also be used. This wet cleaning process also removes other metal or metal oxide residues.

吾人可將溼式清理反應器463與實驗室環境運送模組460整合,其係在實驗室環境條件下進行操作。溼式清理反應器463可用以進行於上圖4A之步驟401所述的1段式或2段式清理。或者,吾人可將額外的溼式清理反應器463’與實驗室環境運送模組460整合,以在反應器463中提供待進行之2段式清理處理的第一階段,而在反應器463’中提供待進行的第二階段。舉例來說,包含例如用以清理Cu-BTA之TMAH之化學品的清理液係位於反應器463中,而包含例如用以清理金屬氧化物之檸檬酸之弱有機酸的清理液係位於反應器463’。We can integrate the wet cleaning reactor 463 with the laboratory environment transport module 460, which operates under laboratory environmental conditions. Wet cleaning reactor 463 can be used to perform a one-stage or two-stage cleaning as described in step 401 of Figure 4A above. Alternatively, an additional wet cleaning reactor 463' can be integrated with the laboratory environment transport module 460 to provide a first stage of the two-stage cleaning process to be performed in the reactor 463, while in the reactor 463' The second stage to be carried out is provided. For example, a cleaning solution containing, for example, a chemical to clean the TMAH of Cu-BTA is located in the reactor 463, and a cleaning liquid containing a weak organic acid such as citric acid for cleaning metal oxides is located in the reactor. 463'.

實驗室環境條件係指在大氣壓之下並且開放的環境。雖然在處理流程400中溼式清理反應器463可與實驗室環境運送模組460整合,但此處理步驟亦可在金屬CMP之後並且在基板被帶至鈷合金沉積用的整合系統之前立即被進行。或者,此溼式清理處理可在控制的周圍處理環境中進行,於此在溼式清理步驟期間以及之後維持此控制環境。Laboratory environmental conditions refer to environments that are under atmospheric pressure and open. Although the wet cleaning reactor 463 can be integrated with the laboratory environment transport module 460 during the process flow 400, this processing step can also be performed immediately after the metal CMP and before the substrate is brought to the integrated system for cobalt alloy deposition. . Alternatively, the wet cleaning process can be performed in a controlled ambient processing environment where the control environment is maintained during and after the wet cleaning step.

沒有被先前之溼式清理所移除的有機殘留物(或污染物)可在移除Cu-BTA及金屬氧化物之後,藉由例如含氧電漿、O2 /Ar濺鍍法、或Ar濺鍍法的乾式氧化電漿處理加以移除。如上所述,大部份的電漿或濺鍍處理係在低於1 Torr的壓力下進行操作,因此,理想上係可將這種系統(或設備,或腔室,或模組)耦合至在例如1 Torr之真空壓力下操作的運送模組。若與電漿處理整合的運送模組係處於真空狀態下時,由於不需要長時間將運送模組抽真空,所以基板運送會更有效率並且處理模組可維持在真空狀態下。此外,由於運送模組係處於真空狀態下,所以在經由電漿處理清理之後的基板只會曝露於非常低濃度的氧。假設選擇O2 電漿處理以清理有機殘留物時,吾人可將O2 電漿處理反應器471耦合至真空運送模組470。Organic residues (or contaminants) that have not been removed by previous wet cleaning can be removed by removing Cu-BTA and metal oxides, for example, by oxygen plasma, O 2 /Ar sputtering, or Ar The dry oxidation plasma treatment of the sputtering method is removed. As noted above, most plasma or sputtering processes operate at pressures below 1 Torr, so it is desirable to couple such systems (or devices, or chambers, or modules) to A transport module that operates at a vacuum pressure of, for example, 1 Torr. If the transport module integrated with the plasma processing is under vacuum, since it is not necessary to evacuate the transport module for a long time, the substrate transport is more efficient and the processing module can be maintained under vacuum. In addition, since the transport module is under vacuum, the substrate after cleaning via plasma treatment is only exposed to very low concentrations of oxygen. The O 2 plasma processing reactor 471 can be coupled to the vacuum transport module 470 assuming an O 2 plasma treatment to clean the organic residue.

由於實驗室環境運送模組460係在大氣壓下進行操作,而真空運送模組470係在真空(<1 Torr)下進行操作,所以吾人將承載室465設置在這兩個模組之間,以使基板455可在不同的壓力下操作的兩模組460及470之間運送。承載室465係用以在小於1 Torr之真空壓力下、或在實驗室環境下進行操作、或用以充滿自惰性氣體群組所選擇的惰性氣體。Since the laboratory environment transport module 460 is operated under atmospheric pressure and the vacuum transport module 470 is operated under vacuum (<1 Torr), the load compartment 465 is disposed between the two modules to The substrate 455 can be transported between two modules 460 and 470 that operate at different pressures. The carrier chamber 465 is for operation at a vacuum pressure of less than 1 Torr, or in a laboratory environment, or to be filled with an inert gas selected from a group of inert gases.

舉例而言,在基板455完成使用O2 的氧化電漿處理之後,基板455被移進含氫還原電漿還原室(或模組)473。含氫電漿還原典型上係在低壓下進行處理,此壓力係小於1 Torr;因此,其可耦合至真空運送模組470。一旦基板455以含氫電漿還原之後,銅表面為清潔並且沒有銅氧化物。在較佳實施例中,在基板455完成O2 電漿處理之後沒有從腔室移除此基板的情況下,可同步進行H2 或H2 /NH3 電漿還原步驟。於任一情況下,在完成還原處理之後,此基板已做好鈷合金沉積的準備。For example, after the substrate 455 is finished with an oxidative plasma treatment of O 2 , the substrate 455 is moved into a hydrogen-containing reduction plasma reduction chamber (or module) 473. Hydrogen-containing plasma reduction is typically treated at low pressures, which are less than 1 Torr; therefore, it can be coupled to vacuum delivery module 470. Once the substrate 455 is reduced with a hydrogen-containing plasma, the copper surface is clean and free of copper oxide. In the preferred embodiment, this is completed without removing the substrate from the chamber after the O 2 plasma processing the substrate 455 can simultaneously H 2 or H 2 / NH 3 plasma reduction step. In either case, the substrate is ready for cobalt alloy deposition after the reduction process is completed.

如上所述,在藉由含氫還原電漿重建基板之後,控制處理及運送的環境以使銅表面對氧的曝露降至最低係重要的。基板455應在控制的環境下進行處理,此處的環境為真空狀態或充滿一種以上惰性氣體,以限制基板455對氧的曝露。虛線490圍出圖4B之一部份整合系統450的邊界輪廓,此虛線顯示其環境被控制的處理系統及運送模組。在控制環境490下的運送及處理可限制基板對氧的曝露。As described above, after the substrate is reconstituted by the hydrogen-reducing plasma, it is important to control the processing and transport environment to minimize the exposure of the copper surface to oxygen. Substrate 455 should be treated under controlled conditions where the environment is vacuum or filled with more than one inert gas to limit the exposure of substrate 455 to oxygen. The dashed line 490 encloses the boundary contour of a portion of the integrated system 450 of Figure 4B, which shows the processing system and transport module whose environment is controlled. Transport and handling under control environment 490 can limit the exposure of the substrate to oxygen.

鈷合金無電沉積為溼式處理,其包含藉由還原劑還原溶液中的鈷物質,此還原劑可以係磷基(例如次亞磷酸)、硼基(例如二甲基胺硼烷)、或兩磷基與硼基的組合。使用磷基還原劑的溶液可沉積CoWP。使用硼基還原劑的溶液可沉積CoWB。同時使用磷基與硼基還原劑的溶液可沉積CoWBP。在一實施例中,鈷合金無電沉積液為鹼基。或者,鈷合金無電沉積液亦可以為酸性。由於溼式處理典型上係在大氣壓力下實施,所以耦合至無電沉積反應器的運送模組480應在近大氣壓力的狀態進行操作。為了確保環境被控制在無氧狀態,吾人可使用惰性氣體填充控制環境運送模組480。此外,用於此處理的所有液體需經過去氣化,即藉由市售的去氣系統移除溶解的氧。示範的惰性氣體包含:氮氣(N2 )、氦(He)、氖(Ne)、氬(Ar)、氪(Kr)、以及氙(Xe)。Electroless deposition of a cobalt alloy is a wet treatment comprising reducing a cobalt species in a solution by a reducing agent, which may be a phosphorus group (eg, hypophosphorous acid), a boron group (eg, dimethylamine borane), or two A combination of a phosphorus group and a boron group. CoWP can be deposited using a solution of a phosphorus-based reducing agent. CoWB can be deposited using a solution of a boron-based reducing agent. CoWBP can be deposited using a solution of a phosphorus-based and boron-based reducing agent. In one embodiment, the cobalt alloy electroless deposition solution is a base. Alternatively, the cobalt alloy electroless deposition solution may also be acidic. Since the wet processing is typically carried out under atmospheric pressure, the transport module 480 coupled to the electroless deposition reactor should be operated at near atmospheric pressure. In order to ensure that the environment is controlled to be in an anaerobic state, the control environment transport module 480 can be filled with an inert gas. In addition, all of the liquid used in this treatment needs to be degassed, i.e., the dissolved oxygen is removed by a commercially available degassing system. Exemplary inert gases include: nitrogen (N 2 ), helium (He), neon (Ne), argon (Ar), krypton (Kr), and xenon (Xe).

在一實施例中,溼式鈷合金無電沉積反應器(或設備,或系統,或模組)與沖洗及乾燥系統(或設備,或模組)耦合,以使基板在乾燥狀態下被送進無電沉積系統481,並且以乾燥狀態送出系統481(乾進/乾出)。此乾進/乾出的需求使無電沉積系統481與控制環境運送模組480整合,並且避免對於獨立沖洗乾燥模組之溼式自動控制運送步驟的需要。無電沉積系統481的環境亦必需被控制,以提供低(或限制)濃度的氧及溼度(水蒸氣)。吾人亦可使用惰性氣體填充此系統,以確保在此處理環境中只有低濃度的氧。In one embodiment, a wet cobalt alloy electroless deposition reactor (or apparatus, or system, or module) is coupled to a rinsing and drying system (or apparatus, or module) to cause the substrate to be fed in a dry state. The electroless deposition system 481 is discharged and the system 481 (dry in/out) is sent out in a dry state. This dry in/out requirement integrates the electroless deposition system 481 with the control environment transport module 480 and avoids the need for a wet automated control transport step for the separate flush drying module. The environment of the electroless deposition system 481 must also be controlled to provide low (or limited) concentrations of oxygen and humidity (water vapor). We can also fill this system with an inert gas to ensure that there is only a low concentration of oxygen in this treatment environment.

或者,鈷合金無電沉積亦可用類似近來所揭露之無電銅的乾進/乾出方式加以實施。為了銅無電沉積,乾進/乾出的無電銅處理已被發展。此處理使用近接處理頭以限制無電處理液在限制區域上與基板表面的接觸。在近接處理頭下方以外的基板表面係乾的。這種處理與系統的細節可從下列美國專利申請案中獲知:第10/607611號,其標題為「Apparatus And Method For Depositing And Planarizing Thin Films On Semiconductor Wafers」,申請於2003年6月27日;第10/879263號,其標題為「Method and Apparatus For Plating Semiconductor Wafers」,申請於2004年6月28日,這些申請案的內容已合併於此。鈷合金的無電電鍍可使用類似的近接處理頭以促使乾進/乾出處理的啟動。Alternatively, the electroless deposition of the cobalt alloy can be carried out in a dry/dry manner similar to the recently disclosed electroless copper. For the electroless deposition of copper, dry/dry copper-free treatment has been developed. This process uses a proximity processing head to limit the contact of the electroless treatment fluid with the substrate surface over the confinement region. The surface of the substrate other than the one below the processing head is dried. The details of such a process and system are known from the following U.S. Patent Application Serial No. 10/607,611, entitled "Apparatus And Method For Depositing And Planarizing Thin Films On Semiconductor Wafers", filed on June 27, 2003; No. 10/879,263, entitled "Method and Apparatus For Plating Semiconductor Wafers", filed on June 28, 2004, the contents of which are incorporated herein. Electroless plating of cobalt alloys can use similar proximity processing heads to facilitate the initiation of dry/dry processing.

在鈷合金於系統481中進行沉積之後,基板455可被送至選用的後沉積清理反應器。吾人可使用下列方法進行此清理:使用例如CP72B之化學品或羥胺基之清理化學品的機械協助(例如刷擦);或使用其他方法,例如沉浸清理、旋沖清理、或C3TM 近接技術。沖洗與乾燥系統亦必需與刷擦系統整合,以使基板455乾進/乾出溼式清理系統483。吾人使用惰性氣體填充系統483以確保限制(或低)的氧存在於此系統中。如以上圖4A所述,由於後沉積清理為可選擇,故以點構成系統483以顯示其為可選擇。由於後沉積清理步驟係待被整合系統450操作的最後處理,所以基板455在處理之後必需被帶回載具461內。因此,如圖4B所示,清理系統483可擇一地耦合至實驗室環境運送模組460。若清理系統483耦合至實驗室環境運送模組460時,清理系統483不會在控制的環境下進行操作,並且惰性氣體不需填充此系統。After the cobalt alloy is deposited in system 481, substrate 455 can be sent to an optional post deposition cleaning reactor. I can use the following methods for this cleanup: for example, cleaning chemicals, mechanical assistance chemical or hydroxylamine of CP72B of (e.g. brushing); or using other methods, such as immersion cleaning, spin washed clean, or C3 TM proximity technology. The rinsing and drying system must also be integrated with the scrubbing system to allow the substrate 455 to dry in/out of the wet cleaning system 483. I use inert gas to fill system 483 to ensure that limited (or low) oxygen is present in the system. As described above with respect to Figure 4A, since post deposition cleaning is optional, system 483 is constructed in dots to indicate that it is optional. Since the post deposition cleaning step is the final processing to be operated by the integration system 450, the substrate 455 must be brought back into the carrier 461 after processing. Thus, as shown in FIG. 4B, the cleaning system 483 can alternatively be coupled to the laboratory environment transport module 460. If the cleaning system 483 is coupled to the laboratory environment transport module 460, the cleaning system 483 will not operate in a controlled environment and the inert gas does not need to be filled with the system.

如上所述,Cu-BTA與金屬氧化物的移除處理步驟亦可在金屬CMP之後並且在基板被帶至鈷合金沉積用的整合系統之前立即被進行。As described above, the removal process of Cu-BTA and metal oxide can also be performed immediately after the metal CMP and before the substrate is brought to the integrated system for cobalt alloy deposition.

情況Ⅱ:金屬CMP停止在阻障層上時Case II: When metal CMP stops on the barrier layer

圖5A-5C顯示在各種不同處理階段的互連結構橫剖面。位於圖5A之基板上的銅層已藉由CMP進行平坦化。阻障層130尚未被移除,而留在基板表面上。圖6A顯示用以無電沉積鈷合金於雙金屬鑲嵌金屬溝槽中之銅上方之表面製備的處理流程實施例。用於圖6A之處理流程600的基板剛已完成銅CMP處理而移除銅。如圖5A所示,阻障層仍留在基板表面上。情況Ⅱ與情況I之間的差異為:在情況Ⅱ中,介電層106的表面沒有曝露於Cu-BTA錯合物或其他的銅化合殘留物。相較於情況I,在情況Ⅱ中的介電層表面具有較高的品質(或較少的金屬污染物)。因此,針對移除位於介電層上之銅氧化物的處理步驟可被省略,此銅氧化物係在用以移除有機污染物的O2 電漿之後形成。Figures 5A-5C show cross-sections of interconnect structures at various different processing stages. The copper layer on the substrate of Figure 5A has been planarized by CMP. The barrier layer 130 has not been removed but remains on the surface of the substrate. Figure 6A shows an embodiment of a process flow for the preparation of a surface for electroless deposition of a cobalt alloy over copper in a dual damascene metal trench. The substrate used in the process flow 600 of Figure 6A has just completed the copper CMP process to remove copper. As shown in Figure 5A, the barrier layer remains on the surface of the substrate. The difference between Case II and Case I is that in Case II, the surface of the dielectric layer 106 is not exposed to Cu-BTA complex or other copper compound residue. Compared to Case I, the dielectric layer surface in Case II has a higher quality (or less metal contaminant). Thus, the processing step for removing the copper oxide on the dielectric layer, which is formed after the O 2 plasma to remove the organic contaminants, can be omitted.

此處理起始於步驟601,於其中從基板表面移除金屬污染物,例如Cu-BTA或金屬氧化物。如上所述,Cu-BTA錯合物與金屬氧化物係待移除的兩種關鍵表面金屬污染物。於上已說明用以從基板表面移除例如Cu-BTA及金屬氧化物之金屬污染物的處理。舉例而言,Cu-BTA與包含銅氧化物的金屬氧化物可藉由包含清理液的溼式清理處理加以移除,此清理液例如包含氫氧化四甲銨(TMAH),或例如乙二胺或二乙烯三胺的錯合胺。移除Cu-BTA可消除(待於最後步驟進行沉積的)鈷合金的圖案相依沉積效應,因此可在緊密及獨立的特徵部中提供均勻的鈷合金沉積。This process begins in step 601 where metal contaminants such as Cu-BTA or metal oxide are removed from the surface of the substrate. As noted above, Cu-BTA complexes and metal oxides are two key surface metal contaminants to be removed. The treatment for removing metal contaminants such as Cu-BTA and metal oxides from the surface of the substrate has been described above. For example, Cu-BTA and a metal oxide comprising a copper oxide can be removed by a wet cleaning process comprising a cleaning solution, for example comprising tetramethylammonium hydroxide (TMAH), or for example ethylenediamine. Or a misamine of diethylenetriamine. The removal of Cu-BTA eliminates the pattern-dependent deposition effect of the cobalt alloy (to be deposited in the final step), thus providing uniform cobalt alloy deposition in tight and independent features.

金屬氧化物,尤其係銅氧化物,可使用例如檸檬酸的弱有機酸,或其他可被使用的有機或無機酸加以移除。此外,吾人亦可使用含非常稀薄(即<0.1%)之過氧化物的酸,例如硫酸-過氧化物混合物。此種溼式清理處理亦可移除其他的金屬或金屬氧化殘留物。Metal oxides, especially copper oxides, can be removed using weak organic acids such as citric acid, or other organic or inorganic acids that can be used. In addition, an acid containing a very thin (i.e., <0.1%) peroxide, such as a sulfuric acid-peroxide mixture, can also be used. This wet cleaning process also removes other metal or metal oxide residues.

在步驟602移除包含位於Cu及阻障層表面上之剩餘BTA的有機污染物。吾人可藉由例如乾式氧氣(O2 )電漿處理或例如具有H2 O、臭氧、或過氧化氫蒸氣之其他氧化電漿處理的處理,以移除有機污染物。如上所述,含氧電漿處理較佳係在50℃以下之相當低的溫度下實施,較佳係120℃以下。含氧電漿處理可以係下游電漿處理。或者,吾人亦可使用為物理地移除有機污染物的O2 /Ar濺鍍處理,而移除有機殘留物(或污染物)。如上所述,O2 電漿處理及O2 /Ar濺鍍處理典型上係在小於1 Torr的壓力下進行操作。At step 602, the organic contaminants comprising the remaining BTA on the surface of Cu and the barrier layer are removed. We can remove organic contaminants by, for example, dry oxygen (O 2 ) plasma treatment or treatment with other oxidative plasma treatments such as H 2 O, ozone, or hydrogen peroxide vapor. As mentioned above, the oxygen-containing plasma treatment is preferably carried out at a relatively low temperature of 50 ° C or less, preferably 120 ° C or less. Oxygenated plasma treatment can be processed downstream. Alternatively, we can also use O 2 /Ar sputtering to physically remove organic contaminants while removing organic residues (or contaminants). As noted above, the O 2 plasma treatment and the O 2 /Ar sputtering process are typically operated at pressures less than 1 Torr.

一旦基板表面沒有例如Cu-BTA、金屬氧化物及有機污染物的污染物時,基板應曝露於儘可能無氧的環境以保護銅表面免於進一步的氧化。如圖5B所示,在移除表面污染物之後,於步驟603,從基板表面移除例如Ta、TaN、Ru、或這些材料之組合的阻障層。吾人可藉由例如CF4 電漿、O2 /Ar濺鍍、CMP的處理,或藉由溼式化學蝕刻移除阻障層。CF4 電漿蝕刻及O2 /Ar濺鍍處理皆在小於1 Torr的壓力下進行操作。Once the substrate surface is free of contaminants such as Cu-BTA, metal oxides, and organic contaminants, the substrate should be exposed to an oxygen-free environment to protect the copper surface from further oxidation. As shown in FIG. 5B, after the surface contaminants are removed, in step 603, a barrier layer such as Ta, TaN, Ru, or a combination of these materials is removed from the surface of the substrate. The barrier layer can be removed by, for example, CF 4 plasma, O 2 /Ar sputtering, CMP treatment, or by wet chemical etching. Both CF 4 plasma etching and O 2 /Ar sputtering are operated at pressures less than 1 Torr.

存在於圖5A之銅表面140上並且在電漿氧化步驟期間所產生的銅氧化物,在阻障金屬移除步驟603期間可完全移除。因此,使用含H電漿之用以還原銅表面的處理會變成可選擇。然而,為了確保銅表面沒有銅氧化物,在步驟605基板表面能夠(可選擇地)被還原,以使任何殘留的銅氧化物轉變成銅。銅表面還原可藉由含氫電漿處理而達成,以使銅氧化物轉變成銅。含氫電漿處理所使用的處理氣體及處理條件已在以上情況I中說明。一旦基板經過氫氣還原處理後,基板已做好鈷合金沉積的準備。銅表面必需被謹慎地保護以免於氧而確保沒有銅氧化物的形成。如上所述,鈷合金的無電沉積會因為銅氧化物的存在而被抑制。因此,控制處理及運送的環境以使銅表面對氧的曝露降至最低或消除係重要的。The copper oxide present on the copper surface 140 of FIG. 5A and produced during the plasma oxidation step can be completely removed during the barrier metal removal step 603. Therefore, the treatment using H-containing plasma to reduce the copper surface becomes optional. However, to ensure that the copper surface is free of copper oxide, the surface of the substrate can be (optionally) reduced in step 605 to convert any residual copper oxide to copper. Copper surface reduction can be achieved by treatment with hydrogen-containing plasma to convert copper oxide to copper. The processing gas and processing conditions used in the hydrogen-containing plasma treatment have been described in the above case I. Once the substrate has been subjected to hydrogen reduction treatment, the substrate is ready for cobalt alloy deposition. The copper surface must be carefully protected from oxygen to ensure that no copper oxide is formed. As described above, the electroless deposition of the cobalt alloy is suppressed by the presence of the copper oxide. Therefore, it is important to control the handling and transport environment to minimize or eliminate oxygen exposure to the copper surface.

在下一個處理步驟607,吾人將例如CoWP、CoWB或CoWBP的鈷合金無電沉積在還原之銅表面140’的頂端。鈷合金顯示如圖5C的層135。鈷合金的無電沉積為選擇性沉積並且為溼式處理。鈷合金只沉積在銅表面上。At the next processing step 607, a cobalt alloy such as CoWP, CoWB or CoWBP is electrolessly deposited on top of the reduced copper surface 140'. The cobalt alloy shows layer 135 as shown in Figure 5C. Electroless deposition of cobalt alloys is selective deposition and is a wet process. The cobalt alloy is only deposited on the copper surface.

如上述情況I,在無電沉積鈷合金之後,處理流程可進入可選擇之後沉積清理的處理步驟609。後沉積清理可使用具有化學溶液的刷擦清理處理加以進行,此化學溶液係例如包含由Pennsylvania,Ailentown之Air Products and Chemicals,Inc.所供應之CP72B的溶液,或羥胺基化學品,以移除任何因為無電沉積處理所引入之位於介電層表面上的金屬污染物。吾人亦可使用其他的基板表面清理處理。As in the case I above, after the electroless deposition of the cobalt alloy, the process flow can proceed to a process step 609 of selective deposition followed by a deposition process. Post-deposition cleaning can be carried out using a scrubbing treatment with a chemical solution such as a solution containing CP72B supplied by Air Products and Chemicals, Inc. of Airentown, Pennsylvania, or a hydroxylamine based chemical to remove Any metal contaminants that are present on the surface of the dielectric layer due to electroless deposition processing. We can also use other substrate surface cleaning treatments.

如上所述,對於製備鈷合金沉積用的銅表面,尤其係在銅表面的含H電漿還原之後,環境控制係非常重要的。圖6B顯示在表面處理之後以關鍵步驟使基板表面對氧的曝露降至最低之示範整合系統650的概略圖。整合系統650可透過圖6A之流程600的整個處理程序而用以處理基板。As noted above, environmental control systems are of great importance for the preparation of copper surfaces for cobalt alloy deposition, especially after H-plasma reduction of copper surfaces. Figure 6B shows an overview of an exemplary integrated system 650 that minimizes oxygen exposure of the substrate surface after critical surface treatment. The integration system 650 can be used to process the substrate through the entire process of the process 600 of FIG. 6A.

類似於整合系統450,整合系統650具有三個基板運送模組660、670、以及680。運送模組660、670及680可安裝自動控制裝置以將基板655從一處理區域移動至另一處理區域。基板運送模組660係在實驗室環境下進行操作。模組660以基板裝載器(或基板載具)661作為介面,以將基板655帶入此整合系統,或者使此基板回到載具661而繼續位在系統650外部的處理。Similar to the integrated system 450, the integrated system 650 has three substrate transport modules 660, 670, and 680. Transport modules 660, 670, and 680 can be equipped with automatic controls to move substrate 655 from one processing area to another. The substrate transport module 660 operates in a laboratory environment. The module 660 uses a substrate loader (or substrate carrier) 661 as an interface to bring the substrate 655 into the integrated system, or return the substrate to the carrier 661 to continue processing outside of the system 650.

如上述在處理流程600中,如圖5A所示,在基板已藉由銅CMP進行平坦化以從基板表面移除過多的銅,並且留下位於介電層表面上的阻障層及溝槽中的銅之後,基板655被帶至整合系統650以進行例如CoWB、CoWP、或CoWBP的鈷合金沉積。如處理流程600之步驟601所述,例如Cu-BTA、金屬氧化物以及有機殘留物的表面污染物必需從基板表面加以移除。Cu-BTA及金屬氧化物可藉由包含清理液的溼式清理處理加以移除,此清理液例如係包含TMAH的溶液。溼式清理反應器663可與實驗室環境運送模組660整合。雖然在處理流程600中溼式清理反應器663可與實驗室環境運送模組660整合,此處理步驟亦可在金屬CMP之後並且在基板被帶至鈷合金沉積用的整合系統之前立即被進行。或者,溼式清理處理亦可在控制的周圍處理環境中進行,於此在溼式清理步驟期間以及之後維持此控制環境。As described above in process flow 600, as shown in FIG. 5A, the substrate has been planarized by copper CMP to remove excess copper from the surface of the substrate, and leaving barrier layers and trenches on the surface of the dielectric layer. Subsequent to the copper, substrate 655 is brought to integration system 650 for cobalt alloy deposition, such as CoWB, CoWP, or CoWBP. As described in step 601 of process flow 600, surface contaminants such as Cu-BTA, metal oxides, and organic residues must be removed from the surface of the substrate. Cu-BTA and metal oxides can be removed by a wet cleaning process comprising a cleaning solution, such as a solution comprising TMAH. The wet cleaning reactor 663 can be integrated with the laboratory environment transport module 660. Although the wet cleaning reactor 663 can be integrated with the laboratory environment transport module 660 during process flow 600, this processing step can also be performed immediately after the metal CMP and before the substrate is brought to the integrated system for cobalt alloy deposition. Alternatively, the wet cleaning process can also be performed in a controlled ambient processing environment where the control environment is maintained during and after the wet cleaning step.

在步驟602,沒有被反應器663中所進行之溼式清理處理移除的有機殘留物(或污染物),可藉由例如O2 電漿或O2 /Ar濺鍍法的乾式電漿處理加以移除。如上所述,大部份的電漿或濺鍍處理係在低於1 Torr的壓力下進行操作;因此,理想上係可將這種系統耦合至在例如1 Torr之真空壓力下操作的運送模組。假設選擇O2 電漿處理以清理有機殘留物時,吾人可將O2 電漿處理反應器671耦合至真空運送模組670。At step 602, the organic residue (or contaminant) that has not been removed by the wet cleaning process performed in reactor 663 can be treated by dry plasma such as O 2 plasma or O 2 /Ar sputtering. Remove it. As noted above, most of the plasma or sputtering processes operate at pressures below 1 Torr; therefore, it is desirable to couple such systems to a transport die that operates at a vacuum pressure of, for example, 1 Torr. group. Assuming O 2 plasma treatment is selected to clean up organic residues, the O 2 plasma treatment reactor 671 can be coupled to the vacuum transport module 670.

O2 電漿處理可以係下游電漿處理。雖然在處理流程600中O2 電漿反應器671可與真空運送模組670整合,但此處理步驟亦可在金屬CMP之後並且在基板被帶至鈷合金沉積用的整合系統之前立即被進行。The O 2 plasma treatment can be processed by downstream plasma. Although the O 2 plasma reactor 671 can be integrated with the vacuum transport module 670 in process flow 600, this processing step can also be performed immediately after the metal CMP and before the substrate is brought to the integrated system for cobalt alloy deposition.

由於實驗室環境運送模組660係在大氣壓下進行操作,而真空運送模組670係在真空(<1 Torr)下進行操作,所以吾人將承載室665設置在這兩個模組之間,以使基板655可在兩模組660及670之間運送。Since the laboratory environment transport module 660 is operated under atmospheric pressure, and the vacuum transport module 670 is operated under vacuum (<1 Torr), the load compartment 665 is disposed between the two modules to The substrate 655 can be transported between the two modules 660 and 670.

如步驟603所示,在基板655完成O2 電漿處理之後,基板655被移進阻障層蝕刻用的處理系統。若選擇乾式阻障電漿蝕刻處理時,阻障層蝕刻室(或模組)673可耦合至真空運送模組670。此乾式阻障電漿處理可以係CF4 電漿處理或O2 /Ar濺鍍處理。As shown in step 603, after the O 2 plasma treatment is completed on the substrate 655, the substrate 655 is moved into the processing system for barrier layer etching. The barrier etch chamber (or module) 673 can be coupled to the vacuum transport module 670 if a dry barrier plasma etch process is selected. This dry barrier plasma treatment can be CF 4 plasma treatment or O 2 /Ar sputtering treatment.

在阻障層蝕刻之後的處理係可選擇之用以確保沒有銅氧化物留在銅表面上的含H電漿還原。H2 電漿還原可在電漿室(或模組)674中進行,此電漿室耦合至真空運送模組670。或者,吾人可在用以移除有機殘留物的O2 電漿反應器671中,於清理殘留氧物質的腔室之後,相繼進行氫氣電漿還原。The treatment after the barrier layer etch can be selected to ensure that there is no H plasma reduction of copper oxide remaining on the copper surface. The H 2 plasma reduction can be performed in a plasma chamber (or module) 674 that is coupled to a vacuum delivery module 670. Alternatively, in a O 2 plasma reactor 671 for removing organic residues, hydrogen plasma reduction may be successively performed after cleaning the chamber of residual oxygen species.

如上所述,鈷合金無電沉積為溼式化學處理。由於溼式處理典型上係在大氣壓力下實施,所以耦合至無電沉積反應器的運送模組680應在近大氣壓力下進行操作。為了確保此環境被控制在無氧狀態,吾人可使用惰性氣體填充控制環境運送模組680。此外,用於此處理的所有液體需經過去氣化,即藉由市售的去氣系統移除溶解的氧。As described above, electroless deposition of cobalt alloy is a wet chemical treatment. Since the wet process is typically carried out at atmospheric pressure, the transport module 680 coupled to the electroless deposition reactor should be operated at near atmospheric pressure. To ensure that the environment is controlled to an anaerobic condition, the control environment transport module 680 can be filled with inert gas. In addition, all of the liquid used in this treatment needs to be degassed, i.e., the dissolved oxygen is removed by a commercially available degassing system.

溼式的鈷合金無電沉積反應器必需和沖洗與乾燥系統耦合,以使基板在乾燥狀態下送至無電沉積系統681,並且以乾燥狀態送出系統681(乾進/乾出)。如上所述,此乾進/乾出的需求使無電沉積系統681與控制環境運送模組680整合。吾人可使用惰性氣體填充系統681以確保在此系統中維持低(或限制,或控制)濃度的氧。The wet cobalt alloy electroless deposition reactor must be coupled to the rinsing and drying system to deliver the substrate to the electroless deposition system 681 in a dry state and to the system 681 (dry/dry) in a dry state. As described above, this dry/out of demand requires the electroless deposition system 681 to be integrated with the control environment transport module 680. The inert gas filling system 681 can be used to ensure that low (or limited, or controlled) concentrations of oxygen are maintained in the system.

在系統681中進行鈷合金沉積之後,基板655可被送至後沉積清理反應器683。沖洗與乾燥系統亦必需與刷擦系統整合以使基板655可乾進/乾出溼式清理系統683。吾人可使用惰性氣體填充系統683以確保沒有氧存在。如以上圖6A所述,由於後沉積清理為可選擇,故以點構成系統683以顯示其為可選擇。由於後沉積清理步驟係待被整合系統650操作的最後處理,所以基板655在進行處理之後必需被帶回載具661內。清理系統683可擇一地耦合至實驗室環境運送模組660。Subsequent to the cobalt alloy deposition in system 681, substrate 655 can be sent to post deposition cleaning reactor 683. The rinsing and drying system must also be integrated with the scrubbing system to allow the substrate 655 to dry into/out of the wet cleaning system 683. We can fill the system 683 with an inert gas to ensure that no oxygen is present. As described above with respect to Figure 6A, since post-deposition cleaning is optional, the system 683 is constructed in dots to indicate that it is optional. Since the post deposition cleaning step is the final processing to be operated by the integration system 650, the substrate 655 must be brought back into the carrier 661 after processing. The cleaning system 683 can alternatively be coupled to the laboratory environment transport module 660.

情況Ⅲ:金屬CMP停止在薄銅層上時Case III: When metal CMP stops on a thin copper layer

圖7A-7C顯示在各種不同互連處理階段的互連結構橫剖面。圖7A的基板剛已完成銅平坦化,但尚未完全從基板清理銅。薄銅層132留在基板表面上。圖8A顯示用以無電沉積鈷合金於雙金屬鑲嵌金屬溝槽中之銅上方之表面製備的處理流程實施例。用於圖8A之處理流程800中的基板剛已完成銅CMP處理,以移除大部份位於覆蓋介電層之阻障層上方的銅。如圖7A所示,在阻障層的表面上留下範圍介於約100埃至約1000埃之間的薄銅層。情況Ⅲ與情況I及情況Ⅱ兩者之間的差異為:在情況Ⅲ中,薄銅層覆蓋整個基板表面;因此不會有因為在銅CMP溶液中之曝露相異材料所產生的賈凡尼銅腐蝕問題。由於薄銅層及存在的其他表面污染物將會在無氧環境中加以移除,所以不會有銅氧化的問題。因此,吾人不需要H2 電漿還原。情況Ⅱ與情況Ⅲ皆不具有阻障CMP;因此,可降低金屬CMP的處理成本。藉由此處理所製備的銅表面可提供在銅上對在介電層上的鈷合金優異選擇性。Figures 7A-7C show cross-sections of interconnect structures at various different interconnect processing stages. The substrate of Figure 7A has just completed copper planarization, but copper has not been completely cleaned from the substrate. The thin copper layer 132 remains on the surface of the substrate. Figure 8A shows an embodiment of a process flow for the preparation of a surface for electroless deposition of a cobalt alloy over copper in a dual damascene metal trench. The substrate used in the process flow 800 of FIG. 8A has just completed a copper CMP process to remove most of the copper above the barrier layer overlying the dielectric layer. As shown in FIG. 7A, a thin copper layer ranging from about 100 angstroms to about 1000 angstroms is left on the surface of the barrier layer. The difference between Case III and Case I and Case II is: In Case III, the thin copper layer covers the entire substrate surface; therefore, there is no Giovanni due to the exposure of the dissimilar materials in the copper CMP solution. Copper corrosion problem. Since the thin copper layer and other surface contaminants present will be removed in an oxygen-free environment, there is no problem with copper oxidation. Therefore, we do not need H 2 plasma reduction. Case II and Case III do not have barrier CMP; therefore, the processing cost of metal CMP can be reduced. The copper surface prepared by this treatment provides excellent selectivity to copper on the dielectric layer on the copper layer.

處理起始於步驟801,於其中從基板表面移除包含有機殘留物與無機金屬氧化物的污染物。這些有機污染物可藉由氧化電漿加以移除,例如乾式氧氣(O2 )電漿處理、H2 O電漿處理、H2 O2 電漿處理、或具有臭氧蒸氣的電漿。如上所述,O2 電漿處理較佳係在小於120℃之相當低的溫度下實施。O2 電漿處理可以係下游電漿處理。或者,有機殘留物(或污染物)亦可使用為物理地移除有機污染物的O2 /Ar濺鍍處理而加以移除。如上所述,O2 電漿處理與O2 /Ar濺鍍處理典型上係在小於1 Torr的壓力下進行操作。Processing begins in step 801 where contaminants comprising organic residues and inorganic metal oxides are removed from the surface of the substrate. These organic contaminants can be removed by oxidizing plasma, such as dry oxygen (O 2 ) plasma treatment, H 2 O plasma treatment, H 2 O 2 plasma treatment, or plasma with ozone vapor. As noted above, the O 2 plasma treatment is preferably carried out at relatively low temperatures of less than 120 °C. The O 2 plasma treatment can be processed by downstream plasma. Alternatively, organic residues (or contaminants) can also be removed using an O 2 /Ar sputtering process that physically removes the organic contaminants. As noted above, the O 2 plasma treatment and the O 2 /Ar sputtering process are typically operated at pressures less than 1 Torr.

一旦基板表面沒有污染物之後,基板應曝露於儘可能無氧的環境以保護銅表面免於氧化。在移除表面污染物之後,於步驟803,移除覆蓋於阻障層及介電層上方的薄銅層。吾人可藉由O2 /Ar 濺鍍、O2 /六氟戊二酮(HFAC,hexafluoroacetylacetone)電漿蝕刻、使用例如硫酸及過氧化氫之化學品的溼式化學蝕刻、或使用錯合化學品移除薄銅層。O2 /Ar濺鍍與O2 /HFAC電漿處理皆在例如低於1 Torr的低壓下操作。Once the substrate surface is free of contaminants, the substrate should be exposed to an oxygen-free environment to protect the copper surface from oxidation. After removing the surface contaminants, in step 803, the thin copper layer overlying the barrier layer and the dielectric layer is removed. We can use O 2 /A r sputtering, O 2 /hexafluoropentanedione (HFAC, hexafluoroacetylacetone) plasma etching, wet chemical etching using chemicals such as sulfuric acid and hydrogen peroxide, or use of misfit chemistry Remove the thin copper layer. Both O 2 /Ar sputtering and O 2 /HFAC plasma treatments operate at low pressures, for example, below 1 Torr.

然後,在步驟805從基板表面移除例如Ta、TaN、或兩種膜之組合的阻障層。在移除薄銅層及阻障層之後的互連結構橫剖面係顯示於圖7B。吾人可藉由CF4 電漿、O2 /Ar濺鍍、CMP、或溼式化學蝕刻移除阻障層。CF4 電漿蝕刻與O2 /Ar濺鍍處理皆在低於1 Torr的壓力下進行操作。Then, at step 805, a barrier layer such as Ta, TaN, or a combination of both films is removed from the surface of the substrate. The cross-section of the interconnect structure after removal of the thin copper layer and the barrier layer is shown in Figure 7B. The barrier layer can be removed by CF 4 plasma, O 2 /Ar sputtering, CMP, or wet chemical etching. Both the CF 4 plasma etch and the O 2 /Ar sputtering process operate at pressures below 1 Torr.

由於用以選擇性沉積鈷合金的銅表面係藉由在控制周圍環境中蝕刻位於介電層上方的薄銅層及阻障層而產生,所以多半不需要用以還原銅表面之使用含H電漿的步驟。然而,為了確保銅表面沒有銅氧化物,在步驟807基板表面能夠可選擇地被還原以使任何殘留的銅氧化物轉變成銅。於上已說明銅表面還原處理。一旦基板經過含氫還原處理之後,基板已做好鈷合金沉積的準備。銅表面必需被謹慎地保護而免於銅氧化物的形成。在下一個處理步驟809,吾人將例如CoWP、CoWB或CoWBP的鈷合金無電沉積在銅表面的頂端。鈷合金係顯示如圖7C中的層135。鈷合金的無電沉積為選擇性沉積並且為溼式處理。鈷合金只沉積在銅表面上。Since the copper surface for selectively depositing the cobalt alloy is produced by etching a thin copper layer and a barrier layer located above the dielectric layer in a controlled environment, most of the copper surface used for reducing the surface of the copper is not required. The step of the slurry. However, to ensure that the copper surface is free of copper oxide, the surface of the substrate can optionally be reduced in step 807 to convert any residual copper oxide to copper. The copper surface reduction treatment has been described above. Once the substrate has been subjected to a hydrogen reduction treatment, the substrate is ready for cobalt alloy deposition. The copper surface must be carefully protected from the formation of copper oxide. At the next processing step 809, a cobalt alloy such as CoWP, CoWB or CoWBP is electrolessly deposited on top of the copper surface. The cobalt alloy system shows layer 135 as in Figure 7C. Electroless deposition of cobalt alloys is selective deposition and is a wet process. The cobalt alloy is only deposited on the copper surface.

如上述情況I與情況Ⅱ,在無電沉積鈷合金之後,處理流程可進入可選擇之後沉積清理的處理步驟811。於上已在情況I與情況Ⅱ中說明後沉積清理。As in Case I and Case II above, after the electroless deposition of the cobalt alloy, the process flow can proceed to a process step 811 of optional deposition and deposition. The deposition has been cleaned up as described in Case I and Case II above.

如上所述,對於製備鈷合金沉積用的銅表面,尤其係在銅表面的含H電漿還原之後,環境控制係非常重要的。圖8B顯示在表面處理之後以關鍵步驟使基板表面對氧的曝露降至最低之示範整合系統850的概略圖。整合系統850可透過圖8A之流程800的整個處理程序而用以處理基板。As noted above, environmental control systems are of great importance for the preparation of copper surfaces for cobalt alloy deposition, especially after H-plasma reduction of copper surfaces. Figure 8B shows an overview of an exemplary integrated system 850 that minimizes oxygen exposure of the substrate surface after critical surface treatment. The integration system 850 can be used to process the substrate through the entire process of the process 800 of FIG. 8A.

整合系統850具有3個基板運送模組860、870及880。運送模組860、870及880可安裝自動控制裝置以將基板855從一處理區域移至另一處理區域。基板運送模組860係在實驗室環境下進行操作。模組860以基板裝載器(基板載具)861作為介面,以將基板855帶入此整合系統,或者使此基板回到載具861而繼續位在系統850外部的處理。The integrated system 850 has three substrate transport modules 860, 870, and 880. Transport modules 860, 870, and 880 can be equipped with automatic controls to move substrate 855 from one processing region to another. The substrate transport module 860 operates in a laboratory environment. The module 860 employs a substrate loader (substrate carrier) 861 as an interface to bring the substrate 855 into the integrated system, or to return the substrate to the carrier 861 and continue processing outside of the system 850.

如以上處理流程800所述,如圖7A所示,在基板已藉由銅CMP進行平坦化以從基板表面移除過多的銅,並且留下位於覆蓋介電層表面之阻障層上方的薄銅層之後,將基板855帶至整合系統850以進行例如CoWB、CoWP、或CoWBP之鈷合金的沉積。如處理流程800之步驟801所述,例如有機殘留物及非銅之金屬氧化物的表面污染物必需從基板表面加以移除。相較於情況I與情況Ⅱ,由於省略用以進行溼式Cu-BTA清理的需求,所以實驗室環境運送模組860可能會被省略以使載具861直接耦合至承載室865。As described in process flow 800 above, as shown in FIG. 7A, the substrate has been planarized by copper CMP to remove excess copper from the surface of the substrate and leave a thin layer over the barrier layer overlying the surface of the dielectric layer. Subsequent to the copper layer, substrate 855 is brought to integration system 850 for deposition of a cobalt alloy such as CoWB, CoWP, or CoWBP. As described in step 801 of process 800, surface contaminants such as organic residues and non-copper metal oxides must be removed from the surface of the substrate. In contrast to Case I and Case II, the laboratory environment transport module 860 may be omitted to allow the carrier 861 to be directly coupled to the load compartment 865 due to the need to omit wet Cu-BTA cleanup.

包含有機殘留物與金屬氧化物的表面污染物可藉由例如O2 電漿或O2 /Ar濺鍍法的氧化電漿處理加以移除。如上所述,大部份的電漿或濺鍍處理係在低於1 Torr的壓力下進行操作;因此,理想上係可將這種系統耦合至在例如1 Torr之真空壓力下操作的運送模組。假設選擇O2 電漿處理以清理有機殘留物時,吾人可將O2 電漿處理反應器871耦合至真空運送模組870。Surface contaminants comprising organic residues and metal oxides can be removed by treatment with an oxidative plasma such as O 2 plasma or O 2 /Ar sputtering. As noted above, most of the plasma or sputtering processes operate at pressures below 1 Torr; therefore, it is desirable to couple such systems to a transport die that operates at a vacuum pressure of, for example, 1 Torr. group. The O 2 plasma processing reactor 871 can be coupled to the vacuum transport module 870 assuming an O 2 plasma treatment is selected to clean up the organic residue.

O2 電漿處理可以係下游電漿處理。雖然在處理流程800中O2 電漿處理反應器871可與真空運送模組870整合,但此處理步驟亦可在金屬CMP之後並且在基板被帶至鈷合金沉積用的整合系統之前立即被進行。The O 2 plasma treatment can be processed by downstream plasma. Although the O 2 plasma processing reactor 871 can be integrated with the vacuum transport module 870 in process 800, this processing step can also be performed immediately after the metal CMP and before the substrate is brought to the integrated system for cobalt alloy deposition. .

由於實驗室環境運送模組860係在大氣壓下進行操作,而真空運送模組870係在真空(<1 Torr)下進行操作,所以吾人將承載室865設置在這兩個模組之間,以使基板855可在兩模組860及870之間運送。Since the laboratory environment transport module 860 is operated under atmospheric pressure and the vacuum transport module 870 is operated under vacuum (<1 Torr), the load compartment 865 is disposed between the two modules to The substrate 855 can be transported between the two modules 860 and 870.

如步驟803所示,在基板855完成O2 電漿處理之後,基板855被移進銅蝕刻用的處理系統。若選擇乾式銅電漿蝕刻處理時,吾人可將銅蝕刻室(或模組)873耦合至真空運送模組870。若選擇溼式處理時,溼式銅蝕刻反應器可與沖洗/乾燥系統整合而成為溼式銅蝕刻系統873’,此系統可耦合至控制環境運送模組880。為了使溼式銅蝕刻系統873’與控制環境運組880整合,對於系統873’,基板的乾進/乾出係必要的。在一實施例中,沖洗與乾燥系統可與溼式銅蝕刻系統873’整合,以符合乾進/乾出的需求。系統873’的環境亦必需被控制在無氧的狀態。吾人亦可使用惰性氣體填充此系統以確保在此處理環境中沒有氧。As shown in step 803, after the O 2 plasma treatment is completed on the substrate 855, the substrate 855 is moved into a processing system for copper etching. If a dry copper plasma etch process is selected, a copper etch chamber (or module) 873 can be coupled to the vacuum transport module 870. If a wet process is selected, the wet copper etch reactor can be integrated with the rinsing/drying system to form a wet copper etch system 873' that can be coupled to the control environment transport module 880. In order to integrate the wet copper etching system 873' with the control environmental group 880, for the system 873', dry/drying of the substrate is necessary. In one embodiment, the rinsing and drying system can be integrated with the wet copper etch system 873' to meet dry/dry out requirements. The environment of system 873' must also be controlled in an anaerobic state. We can also fill this system with inert gas to ensure there is no oxygen in this treatment environment.

如步驟805所示,在銅蝕刻之後進行阻障層蝕刻。若選擇乾式阻障電漿蝕刻處理時,吾人可將阻障層蝕刻室874耦合至真空運送模組870。若選擇溼式阻障蝕刻處理時,溼式阻障蝕刻反應器可與沖洗/乾燥系統整合而成為溼式阻障蝕刻系統874’,此系統可耦合至控制環境運送模組880。為了使溼式阻障蝕刻系統874’與控制環境運送模組880整合,對於系統874’,基板的乾進/乾出係必要的。系統874’的環境亦必需被控制以提供低(或限制,或控制)濃度的氧。吾人亦可使用惰性氣體填充此系統以確保在此處理環境中可達到低濃度的氧。As shown in step 805, barrier layer etching is performed after copper etching. If a dry barrier plasma etch process is selected, the barrier etch chamber 874 can be coupled to the vacuum transport module 870. If a wet barrier etch process is selected, the wet barrier etch reactor can be integrated with the rinsing/drying system to form a wet barrier etch system 874' that can be coupled to the control environment transport module 880. In order to integrate the wet barrier etch system 874' with the control environment transport module 880, for the system 874', dry/drying of the substrate is necessary. The environment of system 874' must also be controlled to provide low (or limited, or controlled) concentrations of oxygen. We can also fill this system with inert gas to ensure that low concentrations of oxygen are achieved in this treatment environment.

在阻障層蝕刻之後的處理為如上所述之選用的含H電漿還原。H2 電漿還原可在電漿室877中進行,此電漿室係耦合至真空運送模組870。The treatment after the barrier layer etch is a H-containing plasma reduction as described above. H 2 plasma reduction can be performed in a plasma chamber 877 that is coupled to a vacuum delivery module 870.

如上所述,鈷合金的無電沉積為溼式處理。由於溼式處理典型上係在大氣壓力下實施,所以耦合至無電沉積反應器的運送模組880應在近大氣壓力下操作。為了確保環境被控制以提供低濃度的氧,吾人可使用惰性氣體填充控制環境運送模組880。此外,用於此處理的所有液體需經過去氣化,即藉由市售的去氣系統移除溶解的氧。示範的惰性氣體包含:氮氣(N2 )、氦(He)、氖(Ne)、氬(Ar)、氪(Kr)、以及氙(Xe)。As described above, the electroless deposition of the cobalt alloy is a wet treatment. Since the wet process is typically carried out at atmospheric pressure, the transport module 880 coupled to the electroless deposition reactor should be operated at near atmospheric pressure. To ensure that the environment is controlled to provide low concentrations of oxygen, the control environment transport module 880 can be filled with inert gas. In addition, all of the liquid used in this treatment needs to be degassed, i.e., the dissolved oxygen is removed by a commercially available degassing system. Exemplary inert gases include: nitrogen (N 2 ), helium (He), neon (Ne), argon (Ar), krypton (Kr), and xenon (Xe).

溼式鈷合金無電沉積反應器必需和沖洗與乾燥系統耦合,以使基板在乾燥的狀態下進入無電沉積系統881,並且以乾燥的狀態送出系統881(乾進/乾出)。此乾進/乾出的需求使無電沉積系統881與控制環境運送模組880整合。吾人可使用惰性氣體填充系統881以確保在此系統中存在低濃度的氧。The wet cobalt alloy electroless deposition reactor must be coupled to the rinsing and drying system to allow the substrate to enter the electroless deposition system 881 in a dry state and to be sent out of the system 881 (dry/dry) in a dry state. This dry/dry demand requires the electroless deposition system 881 to be integrated with the control environment transport module 880. We can fill the system 881 with an inert gas to ensure that there is a low concentration of oxygen in this system.

在系統881中沉積鈷合金之後,基板855可被送至後沉積清理反應器。沖洗與乾燥系統亦必需與刷擦系統整合,以使基板855可乾進/乾出溼式清理系統883。吾人使用惰性氣體填充系統883以確保沒有氧存在。如以上圖8A所述,由於後沉積清理為可選擇,故以點構成系統883以顯示其為可選擇。由於後沉積清理步驟係待被整合系統850操作的最後處理,所以基板855在進行處理之後必需回到載具861。清理系統883可擇一地耦合至實驗室環境運送模組860。After deposition of the cobalt alloy in system 881, substrate 855 can be sent to a post deposition cleaning reactor. The rinsing and drying system must also be integrated with the scrubbing system to allow the substrate 855 to dry into/out of the wet cleaning system 883. We use an inert gas filling system 883 to ensure that no oxygen is present. As described above with respect to Figure 8A, since post-deposition cleaning is optional, the system 883 is constructed in dots to indicate that it is optional. Since the post deposition cleaning step is the final processing to be operated by the integration system 850, the substrate 855 must be returned to the carrier 861 after processing. The cleaning system 883 can alternatively be coupled to the laboratory environment transport module 860.

2.安排無電銅沉積用的阻障層表面2. Arranging the surface of the barrier layer for electroless copper deposition

上述的系統概念可用以製備銅電鍍用的阻障層表面。若例如Ta、TaN或Ru的阻障層長期曝露於空氣時,其會形成Tax Oy (鉭氧化物)、TaOx Ny (鉭氮氧化物)、或RuO2 (氧化釕)。位於基板上之金屬層的無電沉積係高度取決於基板的表面特性與成分。位於Ta、TaN或、Ru表面上的銅無電沉積係屬於在電鍍前的晶種層形成與微影定義圖案內之銅線的選擇性沉積共同參與的結果。其中存在一個問題:無電沉積處理會因為氧氣(O2 )存在所形成的原子級薄原始金屬氧化層而被抑制。The above system concept can be used to prepare the barrier layer surface for copper plating. If a barrier layer such as Ta, TaN or Ru is exposed to air for a long period of time, it will form Ta x O y (钽 oxide), TaO x N y (钽 钽 氧化物), or RuO 2 (钌 钌). The electroless deposition of the metal layer on the substrate is highly dependent on the surface characteristics and composition of the substrate. Copper electroless deposition on the surface of Ta, TaN or Ru belongs to the result of the participation of the seed layer formation prior to electroplating and the selective deposition of copper lines within the lithographic definition pattern. There is a problem in that the electroless deposition treatment is suppressed by the atomic-scale thin primary metal oxide layer formed by the presence of oxygen (O 2 ).

此外,銅膜無法如同其附著於純阻障金屬膜(例如Ta、Ru膜)或富含阻障層之薄膜(例如富含Ta的TaN膜)般地附著於例如鉭氧化物、鉭氮氧化物、或釕氧化物的阻障氧化層。Ta及/或TaN阻障層僅使用作為示範。此說明與概念可應用於其他類型的阻障金屬,例如以薄Ru層覆蓋的Ta或TaN。如上所述,較差的附著性會對EM性能產生不良影響。此外,在阻障層表面上形成鉭氧化物或鉭氮氧化物會增加阻障層的電阻率。由於這些問題,理想上係可使用此整合系統以製備阻障/銅介面,而確保阻障層與銅之間的良好附著性,並且確保低的阻障層電阻率。In addition, the copper film cannot be attached to, for example, tantalum oxide, niobium oxynitride, as it is attached to a pure barrier metal film (for example, Ta, Ru film) or a barrier-rich film (for example, a Ta-rich TaN film). a barrier oxide layer of a substance or a tantalum oxide. The Ta and/or TaN barrier layers are only used as an example. This description and concept can be applied to other types of barrier metals, such as Ta or TaN covered with a thin Ru layer. As described above, poor adhesion can adversely affect EM performance. In addition, the formation of tantalum oxide or niobium oxynitride on the surface of the barrier layer increases the resistivity of the barrier layer. Due to these problems, it is desirable to use this integrated system to prepare a barrier/copper interface while ensuring good adhesion between the barrier layer and copper and ensuring low barrier layer resistivity.

情況I:金屬線的成Case I: the formation of metal wires

圖9A顯示在以介電蝕刻進行圖型化並且移除光阻後之金屬線結構的示範橫剖面。金屬線結構係位於基板900上並且具有矽層110,此結構事先被製造以於其中形成具有閘極氧化層121、隔板107以及接觸部125的閘極結構105。接觸部125典型上係藉由在氧化層103內蝕刻一接觸孔,然後以例如鎢的導電材料填滿此接觸孔而製成。替代材料可包含銅、鋁或其他導電材料。阻障層102亦用以作為選擇性溝槽蝕刻終止層的功能。阻障層102可由例如氮化矽(SiN)或碳化矽(SiC)的材料所構成。Figure 9A shows an exemplary cross-section of a metal line structure after patterning with dielectric etching and removing photoresist. The metal wire structure is on the substrate 900 and has a germanium layer 110 which is previously fabricated to form a gate structure 105 having a gate oxide layer 121, a spacer 107, and a contact portion 125 therein. The contact portion 125 is typically formed by etching a contact hole in the oxide layer 103 and then filling the contact hole with a conductive material such as tungsten. Alternative materials may include copper, aluminum or other electrically conductive materials. The barrier layer 102 is also used as a function of the selective trench etch stop layer. The barrier layer 102 may be composed of a material such as tantalum nitride (SiN) or tantalum carbide (SiC).

金屬線介電層106係沉積覆蓋在阻障層102的上方。以上已說明可被用以沉積介電層106的材料。在沉積介電層106之後,對此基板進行圖型化並且蝕刻以產生金屬溝槽116。圖9B顯示在形成金屬溝槽116之後沿著金屬溝槽116沉積金屬阻障層130。圖9C顯示沉積阻障層130之後沉積覆蓋在阻障層130上方的銅層132。阻障層130可由氮化鉭(TaN)、鉭(Ta)、Ru、或這些膜的組合所構成。然後沉積銅膜132以充滿金屬溝槽116。在一實施例中,銅膜132在其下方包含薄的銅晶種層131。A metal line dielectric layer 106 is deposited overlying the barrier layer 102. Materials that can be used to deposit the dielectric layer 106 have been described above. After depositing the dielectric layer 106, the substrate is patterned and etched to create metal trenches 116. FIG. 9B shows deposition of a metal barrier layer 130 along the metal trench 116 after the metal trench 116 is formed. FIG. 9C shows deposition of a copper layer 132 overlying the barrier layer 130 after deposition of the barrier layer 130. The barrier layer 130 may be composed of tantalum nitride (TaN), tantalum (Ta), Ru, or a combination of these films. A copper film 132 is then deposited to fill the metal trenches 116. In one embodiment, the copper film 132 includes a thin copper seed layer 131 beneath it.

如圖9D所示,在使用電漿表面前處理以製備正形且薄之無電Cu晶種層131沉積用的催化表面,並且以銅膜132填滿溝槽116之後,對基板900進行化學機械研磨(CMP)或溼式蝕刻,以移除覆蓋於介電層106表面上方的銅材料(或銅過覆層)與阻障層(或阻障過覆層)。在一實施例中,薄銅晶種層的厚度係介於約5埃至約300埃之間。如圖9E所示,下一個步驟係以例如鈷合金的銅/SiC介面附著促進層135覆蓋銅表面140。鈷合金的範例包含:CoWB、CoWP、或CoWBP,其可藉由無電處理而選擇性地沉積覆蓋在銅的上方。此附著促進層的厚度可以係薄至單一分子層,其僅有數埃,例如5埃;而對於較厚層時,其可以係例如200埃。As shown in FIG. 9D, after the plasma surface is pretreated to prepare a catalytic surface for deposition of the positive and thin electroless Cu seed layer 131, and after the trench 116 is filled with the copper film 132, the substrate 900 is subjected to chemical mechanical treatment. A polishing (CMP) or wet etching is performed to remove the copper material (or copper overlying layer) overlying the surface of the dielectric layer 106 from the barrier layer (or barrier overlayer). In one embodiment, the thickness of the thin copper seed layer is between about 5 angstroms and about 300 angstroms. As shown in FIG. 9E, the next step covers the copper surface 140 with a copper/SiC interface adhesion promoting layer 135 such as a cobalt alloy. Examples of cobalt alloys include: CoWB, CoWP, or CoWBP, which can be selectively deposited over copper by electroless treatment. The thickness of the adhesion promoting layer may be as thin as a single molecular layer, which is only a few angstroms, for example 5 angstroms; and for thicker layers, it may be, for example, 200 angstroms.

圖10A顯示用以在已形成溝槽之後製備無電銅沉積用之阻障(或襯墊)層表面之處理流程1000的實施例。然而,吾人應注意到阻障(或襯墊)層可個別地在非整合沉積系統中加以製備,例如ALD或PVD沉積反應器。在此情況下,用以沉積薄銅晶種層的表面製備將不包含金屬插塞前清理與阻障沉積處理步驟。在步驟1001,對接觸部插塞進行清潔以移除原始金屬氧化物。吾人可藉由下列各種方式移除金屬氧化物:Ar濺鍍處理;使用例如NF3 、CF4 、或組合兩者之含氟氣體的電漿處理;溼式化學蝕刻處理;或例如使用含氫電漿的還原處理。在步驟1003,沉積阻障層。根據技術節點,由於縮小金屬線及通孔關鍵尺寸,阻障層可藉由原子層沉積(ALD)加以沉積。阻障層130的厚度係介於約20埃至約200埃之間。如上所述,對於確保以銅與阻障層間的良好附著性沉積無電銅於阻障層上而言,防止阻障層曝露於氧係其關鍵。一旦沉積阻障層後,基板應在控制周圍環境中被運送或處理以限制對氧的曝露。在選用的步驟1005,吾人對阻障層進行氫氣電漿處理,以在Ta、TaN、或Ru層上產生富含金屬的表面,而提供隨後銅晶種沉積步驟用的催化表面。是否需要此步驟係取決於此表面富含金屬之程度。Figure 10A shows an embodiment of a process flow 1000 for preparing a barrier (or liner) layer surface for electroless copper deposition after a trench has been formed. However, it should be noted that the barrier (or liner) layer can be prepared separately in a non-integrated deposition system, such as an ALD or PVD deposition reactor. In this case, the surface preparation for depositing the thin copper seed layer will not include the metal plug cleaning and barrier deposition processing steps. At step 1001, the contact plug is cleaned to remove the original metal oxide. The metal oxide can be removed by various methods: Ar sputtering treatment; plasma treatment using a fluorine-containing gas such as NF 3 , CF 4 , or a combination thereof; wet chemical etching treatment; or, for example, using hydrogen Reduction treatment of plasma. At step 1003, a barrier layer is deposited. According to the technology node, the barrier layer can be deposited by atomic layer deposition (ALD) due to the reduction of the critical dimensions of the metal lines and vias. The barrier layer 130 has a thickness between about 20 angstroms and about 200 angstroms. As described above, it is essential to prevent the barrier layer from being exposed to oxygen in order to ensure that the electroless copper is deposited on the barrier layer with good adhesion between the copper and the barrier layer. Once the barrier layer is deposited, the substrate should be transported or treated in a controlled environment to limit exposure to oxygen. In optional step 1005, the barrier layer is subjected to a hydrogen plasma treatment to produce a metal-rich surface on the Ta, TaN, or Ru layer to provide a catalytic surface for subsequent copper seed deposition steps. Whether or not this step is required depends on the extent to which the surface is rich in metals.

然後,在步驟1007正形的銅晶種層被沉積在阻障層表面上,接著在步驟1008進行厚溝填(或大量充填)處理。在一實施例中,正形銅晶種層可藉由無電處理加以沉積。厚的銅大量充填處理可以係無電沉積(ELD,electroless deposition)處理或電化學電鍍(ECP,electrochemical plating)處理。無電銅沉積與ECP為熟知的溼式處理。以上此已被說明,對於待與控制處理及運送環境整合成一個系統的溼式處理而言,反應器必需與沖洗/乾燥系統整合以具有乾進/乾出的處理能力。此外,此系統必需以惰性氣體填充而確保基板對氧的最小曝露。近年來,乾進/乾出的無電銅處理已被發展。又,用於此處理的所有液體需經過去氣化,即藉由市售的去氣系統移除溶解的氧。Then, a positive copper seed layer is deposited on the surface of the barrier layer at step 1007, followed by a thick trench fill (or bulk fill) process at step 1008. In one embodiment, the positive copper seed layer can be deposited by electroless treatment. The thick copper bulk filling treatment may be an electroless deposition (ELD) treatment or an electrochemical plating (ECP) treatment. Electroless copper deposition and ECP are well known wet treatments. As explained above, for wet processing to be integrated into a system with control processing and shipping environment, the reactor must be integrated with the rinsing/drying system to have dry/dry out processing capabilities. In addition, this system must be filled with an inert gas to ensure minimal exposure of the substrate to oxygen. In recent years, dry/dry copper-free treatment has been developed. Again, all of the liquid used in this treatment is degassed, i.e., the dissolved oxygen is removed by a commercially available degassing system.

無電沉積處理可用許多方式加以進行,例如水坑式電鍍(puddle-plating),於其中液體被分配至基板上並且在靜態模式下進行反應,在此之後移除並丟棄反應物,或回收再製之。在另一實施例中,此處理使用近接處理頭以限制無電處理液只與限定區域上的基板表面接觸。在近接處理頭下方以外的基板表面係乾的。這種處理與系統的細節可從下列美國專利申請案中獲知:第10/607611號,其標題為「Apparatus And Method For Depositing And Planarizing Thin Films Of Semiconductor Wafers」,申請於2003年6月27日;第10/879263號,其標題為「Method and Apparatus For Plating Semiconductor Wafers」,申請於2004年6月28日,這些申請案的內容已合併於此。上述的鈷合金無電電鍍可使用類似的近接處理頭以促使乾進/乾出處理的啟動。The electroless deposition process can be carried out in a number of ways, such as puddle-plating, in which a liquid is dispensed onto a substrate and reacted in a static mode, after which the reactants are removed and discarded, or recycled. . In another embodiment, this process uses a proximity processing head to limit the electroless treatment fluid to only contact the substrate surface on the defined area. The surface of the substrate other than the one below the processing head is dried. The details of such a process and system are known from the following U.S. Patent Application Serial No. 10/607,611, entitled "Apparatus And Method For Depositing And Planarizing Thin Films Of Semiconductor Wafers", filed on June 27, 2003; No. 10/879,263, entitled "Method and Apparatus For Plating Semiconductor Wafers", filed on June 28, 2004, the contents of which are incorporated herein. The electroless plating of the cobalt alloy described above can use a similar proximity processing head to facilitate the initiation of the dry/dry process.

在步驟1007及1008沉積銅之後,在步驟1009基板能夠接受選用的基板清理。後銅沉積清理可使用具有化學溶液的刷擦清理處理加以達成,此化學溶液例如係包含由Pennsylvania,Allentown之Air Products and Chemicals,Inc.所供應之CP72B的溶液。吾人亦可使用其他的基板表面清理處理,例如Lam的C3TM 或P3TM 清理技術。After depositing copper in steps 1007 and 1008, the substrate can be subjected to optional substrate cleaning in step 1009. Post-copper deposition cleaning can be accomplished using a brush cleaning process with a chemical solution, for example, comprising a solution of CP72B supplied by Air Products and Chemicals, Inc. of Allentown, Pennsylvania. I may also use other substrate surface cleaning process, for example, Lam, C3 TM or P3 TM cleaning technology.

圖10B顯示在製備阻障層表面之後以關鍵步驟使基板表面對氧之曝露降至最低之整合系統1050的概略圖實施例。此外,由於此為整合系統,所以基板會立即從一處理站運送至下一個處理站,以限制清潔的銅表面對低濃度氧曝露的期間。整合系統1050可透過圖10A之流程1000的整個處理程序而用以處理基板。FIG. 10B shows an overview of an integrated system 1050 that minimizes oxygen exposure of the substrate surface in a critical step after preparing the surface of the barrier layer. In addition, since this is an integrated system, the substrate is immediately transported from one processing station to the next to limit the period of exposure of the cleaned copper surface to low concentrations of oxygen. The integration system 1050 can be used to process the substrate through the entire process of the process 1000 of FIG. 10A.

如上所述,銅無電沉積用以及可選擇之後鈷合金沉積處理用的表面製備包含混合的乾式與溼式處理。此溼式處理典型上係在近大氣壓下操作,而此乾式處理係在小於1 Torr的壓力下操作。因此,此整合系統必需可操作混合的乾式與溼式處理。整合系統1050具有3個基板運送模組1060、1070及1080。運送模組1060、1070及1080可安裝自動控制裝置以將基板1055從一處理區域移至另一處理區域。此處理區域可以係基板載具、反應器、或承載室。基板運送模組1060係在實驗室環境下進行操作。模組1060以基板裝載器(或基板載具)1061作為介面,以將基板1055帶入此整合系統,或使此基板回到載具1061其中之一。As noted above, surface preparation for copper electroless deposition and, optionally, cobalt alloy deposition processing, includes mixed dry and wet processing. This wet process is typically operated at near atmospheric pressure, while the dry process operates at pressures less than 1 Torr. Therefore, this integrated system must be capable of operating a mix of dry and wet processes. The integration system 1050 has three substrate transport modules 1060, 1070, and 1080. Transport modules 1060, 1070, and 1080 can be equipped with automatic controls to move substrate 1055 from one processing area to another. This processing area can be a substrate carrier, a reactor, or a carrier chamber. The substrate transport module 1060 operates in a laboratory environment. The module 1060 uses a substrate loader (or substrate carrier) 1061 as an interface to bring the substrate 1055 into the integrated system or return the substrate to one of the carriers 1061.

如上所述在處理流程1000中,基板1055被帶至整合系統1050以沉積阻障層及銅層。如處理流程1000之步驟1001所述,蝕刻接觸部125的上層鎢表面124a以移除原始鎢氧化物。一旦移除鎢氧化物之後,必需保護圖9A的曝露鎢表面124a以免於對氧的曝露。若移除處理為Ar濺鍍處理時,吾人可將反應器1071耦合至真空運送模組1070。若選擇溼式化學蝕刻處理時,此反應器應被耦合至控制環境運送模組1080,而非耦合至實驗室環境運送模組1060,以限制鎢表面對氧的曝露。In process flow 1000 as described above, substrate 1055 is brought to integrated system 1050 to deposit a barrier layer and a copper layer. The upper tungsten surface 124a of the contact portion 125 is etched to remove the original tungsten oxide as described in step 1001 of process flow 1000. Once the tungsten oxide is removed, it is necessary to protect the exposed tungsten surface 124a of Figure 9A from exposure to oxygen. If the removal process is an Ar sputtering process, the reactor 1071 can be coupled to the vacuum transport module 1070. If a wet chemical etch process is selected, the reactor should be coupled to the control environment transport module 1080 instead of being coupled to the laboratory environment transport module 1060 to limit the exposure of the tungsten surface to oxygen.

然後,如圖10A之步驟1003所述,以例如Ta、TaN、Ru、或這些膜之組合的金屬阻障層沉積此基板。圖9B的阻障層130可藉由ALD或PVD處理加以沉積。在一實施例中,ALD處理係在小於1 Torr的壓力下進行操作。吾人將ALD反應器1073耦合至真空運送模組1070。在另一實施例中,此沉積處理為使用超臨界CO2 以及用以形成金屬阻障之有機金屬前驅物的高壓處理。在又另一實施例中,此沉積處理為在小於1 Torr壓力下進行操作的物理氣相沉積(PVD)處理。使用超臨界CO2 之高壓處理的示範反應器細節係說明在共同受讓的美國專利申請案第10/357664號,標題為「Method and Apparatus for Semiconductor Wafer Cleaning Using High-Frequency Acoustic Energy with Supercritical Fluid」,於2003年2月3日提出申請,其以文獻方式合併於此。Then, as described in step 1003 of FIG. 10A, the substrate is deposited with a metal barrier layer such as Ta, TaN, Ru, or a combination of these films. The barrier layer 130 of Figure 9B can be deposited by ALD or PVD processing. In one embodiment, the ALD process operates at a pressure of less than 1 Torr. The ALD reactor 1073 is coupled to the vacuum transport module 1070. In another embodiment, the deposition process using supercritical CO 2 and a high pressure process for forming the barrier metal organometallic precursor. In yet another embodiment, the deposition process is a physical vapor deposition (PVD) process operating at a pressure of less than 1 Torr. The exemplary reactor details of the high pressure treatment using supercritical CO 2 are described in co-pending U.S. Patent Application Serial No. 10/357,664, entitled "Method and Apparatus for Semiconductor Wafer Cleaning Using High-Frequency Acoustic Energy with Supercritical Fluid". , filed on February 3, 2003, which is incorporated herein by reference.

如圖10A之步驟1005所述,此基板能夠接受選用的還原處理,例如使用含氫電漿。氫氣還原反應器1074可耦合至真空運送模組1070。在這個階段,基板已做好無電銅沉積的準備。吾人可在無電銅電鍍反應器1081中進行無電銅電鍍以沉積正形的晶種層。在沉積晶種層之後,吾人可在用以沉積正形晶種層的相同無電銅沉積反應器1081中進行銅的大量充填,但以不同的化學品達到大量充填。或者,銅的大量充填可在獨立的ECP反應器1081’中進行。As described in step 1005 of Figure 10A, the substrate is capable of undergoing an optional reduction treatment, such as the use of a hydrogen containing plasma. Hydrogen reduction reactor 1074 can be coupled to vacuum delivery module 1070. At this stage, the substrate is ready for electroless copper deposition. Electroless copper plating can be performed in the electroless copper plating reactor 1081 to deposit a positive seed layer. After depositing the seed layer, a large amount of copper can be filled in the same electroless copper deposition reactor 1081 used to deposit the positive seed layer, but a large amount of filling is achieved with different chemicals. Alternatively, a large amount of copper can be filled in a separate ECP reactor 1081'.

在基板離開整合系統1050之前,基板可選擇地接受表面清理處理,此處理可清理來自先前銅沉積處理的殘留物。舉例而言,此基板清理處理可以係刷式清理處理。吾人可將基板清理反應器1083與控制環境運送模組1080整合。或者,吾人亦可將基板清理反應器1083與實驗室環境運送模組1060整合。Prior to exiting the integrated system 1050, the substrate optionally undergoes a surface cleaning process that cleans residues from previous copper deposition processes. For example, the substrate cleaning process can be a brush cleaning process. The substrate cleaning reactor 1083 can be integrated with the control environment transport module 1080. Alternatively, the substrate cleaning reactor 1083 can be integrated with the laboratory environment transport module 1060.

或者,圖9B的阻障層130可在基板900被帶入表面處理及沉積銅用的系統之前於處理室中進行沉積。圖10C顯示用以製備無電銅沉積用之阻障(或襯墊)層表面之處理流程1090的實施例。在選用的步驟1095,吾人對阻障層進行氫氣電漿處理,以在Ta、TaN、或Ru層上產生富含金屬的表面,而提供隨後銅晶種沉積步驟用的催化表面。是否需要此步驟係取決於此表面富含金屬之程度。Alternatively, the barrier layer 130 of FIG. 9B can be deposited in the processing chamber before the substrate 900 is brought into a system for surface treatment and deposition of copper. Figure 10C shows an embodiment of a process flow 1090 for preparing a barrier (or liner) layer surface for electroless copper deposition. In optional step 1095, the barrier layer is subjected to a hydrogen plasma treatment to produce a metal-rich surface on the Ta, TaN, or Ru layer to provide a catalytic surface for subsequent copper seed deposition steps. Whether or not this step is required depends on the extent to which the surface is rich in metals.

然後,在步驟1097,正形的銅晶種層被沉積在阻障層表面上,接著在步驟1098進行厚的銅溝填(或大量充填)處理。在一實施例中,正形的銅晶種層可藉由無電處理加以沉積。厚的銅大量充填處理可以係無電沉積(ELD)處理或電化學電鍍(ECP)處理。在步驟1097及1098沉積銅之後,基板可在步驟1099接受選用的基板清理。後銅沉積清理可使用具有化學溶液的刷擦清理處理而達成,此化學溶液例如係包含由Pennsylvania,Allentown之Air Products and Chemicals所供應之CP72B的溶液。吾人亦可使用其他的基板表面清理處理,例如Lam的C3TM 或P3TM 清理技術。Then, at step 1097, a conformal copper seed layer is deposited on the surface of the barrier layer, followed by a thick copper trench fill (or bulk fill) process at step 1098. In one embodiment, the positive copper seed layer can be deposited by electroless treatment. The thick copper bulk filling process can be an electroless deposition (ELD) process or an electrochemical plating (ECP) process. After the copper is deposited in steps 1097 and 1098, the substrate can be subjected to the optional substrate cleaning in step 1099. Post-copper deposition cleaning can be accomplished using a brush cleaning process with a chemical solution, for example, comprising a solution of CP72B supplied by Air Products and Chemicals of Allentown, Pennsylvania. I may also use other substrate surface cleaning process, for example, Lam, C3 TM or P3 TM cleaning technology.

圖10D顯示在製備阻障層之後以關鍵步驟使基板表面對氧之曝露降至最低之整合系統1092的概略圖實施例。此外,由於此為整合系統,基板會立即從一處理站運送至下一個處理站,以限制清潔之銅表面對低濃度氧曝露的期間。整合系統1092可透過圖10C之流程1090的整個處理程序而用以處理基板。Figure 10D shows an overview of an integrated system 1092 that minimizes the exposure of the substrate surface to oxygen after critical steps in the preparation of the barrier layer. In addition, since this is an integrated system, the substrate is immediately transported from one processing station to the next to limit the exposure of the cleaned copper surface to low concentrations of oxygen. The integration system 1092 can be used to process the substrate through the overall processing of the process 1090 of FIG. 10C.

如上所述,銅無電沉積及選用之後鈷合金沉積處理用的表面製備涉及乾式與溼式混合處理。此溼式處理典型上係在近大氣壓下進行操作,而此乾式電漿處理係在小於1 Torr的壓力下進行操作。因此,此整合系統必需可操作混合的乾式與溼式處理。整合系統1092具有3個基板運送模組1060、1070及1080。運送模組1060、1070及1080可安裝自動控制裝置以將基板1055從一處理區域移至另一處理區域。此處理區域可以係基板載具、反應器、或承載室。基板運送模組1060係在實驗室環境下操作。模組1060以基板裝載器(或基板載具)1061作為介面,以將基板1055帶入此整合系統,或使此基板回到載具1061其中之一。As described above, the surface preparation for the electroless deposition of copper and the cobalt alloy deposition treatment after the selection involves dry and wet mixing treatment. This wet process is typically operated at near atmospheric pressure while the dry plasma process is operated at a pressure of less than 1 Torr. Therefore, this integrated system must be capable of operating a mix of dry and wet processes. The integration system 1092 has three substrate transport modules 1060, 1070, and 1080. Transport modules 1060, 1070, and 1080 can be equipped with automatic controls to move substrate 1055 from one processing area to another. This processing area can be a substrate carrier, a reactor, or a carrier chamber. The substrate transport module 1060 operates in a laboratory environment. The module 1060 uses a substrate loader (or substrate carrier) 1061 as an interface to bring the substrate 1055 into the integrated system or return the substrate to one of the carriers 1061.

如上所述在處理流程1090中,在沉積阻障層以製備無電銅沉積用的阻障層表面之後,將基板1055帶至整合系統1092。如圖10C之步驟1095所述,此基板首先接受還原處理,例如使用含氫電漿。吾人可將氫氣還原反應器1074耦合至真空運送模組1070。在這個階段,基板已做好無電銅沉積的準備。吾人可在無電銅電鍍反應器1081中進行無電銅電鍍以沉積正形的晶種層。在沉積晶種層之後,吾人可在用以沉積正形晶種層的相同無電銅沉積反應器1081中進行銅的大量充填,但以不同的化學品達到大量充填。或者,銅的大量充填可在獨立的ECP反應器1081’中進行。In process flow 1090, as described above, after depositing the barrier layer to prepare the barrier layer surface for electroless copper deposition, substrate 1055 is brought to integration system 1092. As described in step 1095 of Figure 10C, the substrate is first subjected to a reduction treatment, such as the use of a hydrogen-containing plasma. The hydrogen reduction reactor 1074 can be coupled to the vacuum transport module 1070. At this stage, the substrate is ready for electroless copper deposition. Electroless copper plating can be performed in the electroless copper plating reactor 1081 to deposit a positive seed layer. After depositing the seed layer, a large amount of copper can be filled in the same electroless copper deposition reactor 1081 used to deposit the positive seed layer, but a large amount of filling is achieved with different chemicals. Alternatively, a large amount of copper can be filled in a separate ECP reactor 1081'.

在基板離開整合系統1092之前,此基板可選擇地接受表面清理處理,此可清理來自先前銅沉積處理的殘留物。舉例來說,此基板清理處理可以係刷式清理處理。基板清理反應器1083可與控制環境運送模組1080整合。或者,基板清理反應器1083亦可與實驗室環境運送模組1060整合。This substrate optionally undergoes a surface cleaning process prior to exiting the integrated system 1092, which cleans the residue from previous copper deposition processes. For example, the substrate cleaning process can be a brush cleaning process. The substrate cleaning reactor 1083 can be integrated with the control environment transport module 1080. Alternatively, the substrate cleaning reactor 1083 can also be integrated with the laboratory environment transport module 1060.

圖11A顯示用以製備無電銅沉積用之阻障(或襯墊)層表面以及製備無電鈷合金沉積用之後CMP銅表面的處理流程實施例。在步驟1101,清潔接觸部插塞的上表面124a以移除原始鎢氧化物。金屬氧化物可藉由下列方法加以移除:Ar濺鍍處理、電漿還原處理、反應性離子蝕刻處理、或溼式化學蝕刻處理。在步驟1103,沉積阻障層。在選用步驟1105,吾人對此阻障層進行氫氣電漿處理,以在Ta、TaN、或Ru層上產生富含金屬的表面,而提供隨後銅晶種沉積步驟用的催化表面。是否需要此步驟係取決於此表面富含金屬之程度。Figure 11A shows an embodiment of a process flow for preparing a barrier (or liner) layer surface for electroless copper deposition and for preparing a CMP copper surface after electroless cobalt alloy deposition. At step 1101, the upper surface 124a of the contact plug is cleaned to remove the original tungsten oxide. The metal oxide can be removed by the following methods: Ar sputtering treatment, plasma reduction treatment, reactive ion etching treatment, or wet chemical etching treatment. At step 1103, a barrier layer is deposited. In the optional step 1105, the barrier layer is subjected to a hydrogen plasma treatment to produce a metal-rich surface on the Ta, TaN, or Ru layer to provide a catalytic surface for subsequent copper seed deposition steps. Whether or not this step is required depends on the extent to which the surface is rich in metals.

然而,吾人應注意到阻障(或襯墊)層可個別地在非整合沉積系統中加以製備,例如ALD或PVD沉積反應器。在此情況下,用以沉積薄銅晶種層的表面製備將不包含金屬插塞前清理以及阻障沉積處理步驟,如圖10A所述之步驟1001與1003,以及圖11A之步驟1101與1103。在這些情況下,所說明的這些處理起始於步驟1005或1105。However, it should be noted that the barrier (or liner) layer can be prepared separately in a non-integrated deposition system, such as an ALD or PVD deposition reactor. In this case, the surface preparation for depositing the thin copper seed layer will not include the metal plug cleaning and barrier deposition processing steps, steps 1001 and 1003 as illustrated in FIG. 10A, and steps 1101 and 1103 of FIG. 11A. . In these cases, the illustrated processes begin at step 1005 or 1105.

然後,在步驟1107正形的銅晶種層被沉積在阻障層表面上,接著在步驟1108進行厚的銅溝填(或大量充填)處理。在一實施例中,正形的銅晶種層可藉由無電處理加以沉積。厚的銅大量充填處理可以係無電沉積(ELD)處理或電化學電鍍(ECP)處理。無電銅沉積及ECP為熟知的溼式處理。以上此已被說明,對於待與控制處理及運送環境整合成一個系統的溼式處理而言,反應器必需與沖洗/乾燥系統整合以具有乾進/乾出的處理能力。此外,此系統必需以惰性氣體填充而確保基板對氧的最小曝露。近年來,乾進/乾出的無電銅處理已被發展。又,用於此處理的所有液體需經過去氣化,即藉由市售的去氣系統移除溶解的氧。Then, a positive copper seed layer is deposited on the surface of the barrier layer at step 1107, followed by a thick copper trench fill (or bulk fill) process at step 1108. In one embodiment, the positive copper seed layer can be deposited by electroless treatment. The thick copper bulk filling process can be an electroless deposition (ELD) process or an electrochemical plating (ECP) process. Electroless copper deposition and ECP are well known wet treatments. As explained above, for wet processing to be integrated into a system with control processing and shipping environment, the reactor must be integrated with the rinsing/drying system to have dry/dry out processing capabilities. In addition, this system must be filled with an inert gas to ensure minimal exposure of the substrate to oxygen. In recent years, dry/dry copper-free treatment has been developed. Again, all of the liquid used in this treatment is degassed, i.e., the dissolved oxygen is removed by a commercially available degassing system.

如圖9D所示,在步驟1107以正形銅晶種層沉積基板,並且在步驟1108以無電或電鍍處理進行厚的Cu溝填(大量充填)之後,於步驟1109,從位於覆蓋介電層106之阻障層130上方的基板表面移除銅層132。然後移除阻障層。這些移除處理同時在圖11A的處理步驟1109中進行。吾人可藉由CMP(此為溼式處理)達成從位於阻障層上方的表面移除銅。吾人可使用例如CF4 電漿的反應性離子蝕刻、O2 /Ar濺鍍、CMP、或溼式化學蝕刻而移除阻障層。先前已說明過這些阻障蝕刻處理。As shown in FIG. 9D, the substrate is deposited with a positive copper seed layer at step 1107, and after a thick Cu trench fill (mass fill) is performed in step 1108 by electroless or electroplating, in step 1109, from the overlying dielectric layer. The surface of the substrate above the barrier layer 130 of 106 removes the copper layer 132. Then remove the barrier layer. These removal processes are simultaneously performed in process step 1109 of Figure 11A. We can remove copper from the surface above the barrier layer by CMP (this is a wet process). The barrier layer can be removed by reactive ion etching, O 2 /Ar sputtering, CMP, or wet chemical etching, such as CF 4 plasma. These barrier etch processes have been previously described.

在移除阻障層之後,進行用以移除Cu-BTA錯合物的清理處理,並且進行金屬氧化物(步驟1110)與有機污染物移除處理(步驟1111),以從基板表面移除污染物。以上已說明在金屬CMP之後使用這兩種步驟之基板表面清理的細節。After removing the barrier layer, a cleaning process to remove the Cu-BTA complex is performed, and a metal oxide (step 1110) and an organic contaminant removal process (step 1111) are performed to remove from the substrate surface. Contaminants. Details of substrate surface cleaning using these two steps after metal CMP have been described above.

在從基板表面移除表面污染物之後,於步驟1112,以(含氫)還原電漿處理此基板而將所有殘留的金屬氧化物還原成金屬。在經過氫還原之後,銅表面係非常清潔且具催化作用,並且已做好鈷合金無電沉積的準備。在步驟1113,此基板接受鈷合金無電沉積以及基板的沖洗與乾燥。最後的處理步驟1115為用以清理來自先前無電鈷合金沉積之任何殘留污染物的可選擇基板清理步驟。After removing surface contaminants from the surface of the substrate, in step 1112, the substrate is treated with a (hydrogen containing) reduction plasma to reduce all of the residual metal oxide to metal. After hydrogen reduction, the copper surface is very clean and catalytic, and is ready for electroless deposition of cobalt alloy. At step 1113, the substrate is subjected to electroless deposition of cobalt alloy and rinsing and drying of the substrate. A final processing step 1115 is an optional substrate cleaning step to clean any residual contaminants from previous electroless cobalt alloy deposits.

圖11B顯示在製備阻障層及銅表面之後以關鍵步驟使基板表面對氧之曝露降至最低之整合系統1150的概略圖實施例。此外,此為整合系統,所以基板會立即從一處理站運送至下一個處理站,此可限制清潔之銅表面對低濃度氧曝露的期間。整合系統1150可透過圖11A之流程1100的整個處理程序而用以處理基板。Figure 11B shows an overview of an integrated system 1150 that minimizes oxygen exposure of the substrate surface in a critical step after preparing the barrier layer and the copper surface. In addition, this is an integrated system so that the substrate is immediately transported from one processing station to the next, which limits the exposure of the cleaned copper surface to low concentrations of oxygen. The integration system 1150 can be used to process the substrate through the entire processing of the process 1100 of FIG. 11A.

整合系統1150具有3個基板運送模組1160、1170及1180。運送模組1160、1170及1180可安裝自動控制裝置,以將基板1155從一處理區域移至另一處理區域。此處理區域可以係基板載具、反應器、或承載室。基板運送模組1160係在實驗室環境下進行操作。模組1160以基板裝載器(或基板載具)1161作為介面,以將基板1155帶入此整合系統,或使此基板回到載具1161其之一。The integration system 1150 has three substrate transport modules 1160, 1170, and 1180. Transport modules 1160, 1170, and 1180 can be equipped with automatic controls to move substrate 1155 from one processing region to another. This processing area can be a substrate carrier, a reactor, or a carrier chamber. The substrate transport module 1160 operates in a laboratory environment. The module 1160 uses a substrate loader (or substrate carrier) 1161 as an interface to bring the substrate 1155 into the integrated system or return the substrate to one of the carriers 1161.

如上所述在圖11A之處理流程1100中,基板1155被帶至整合系統1150以沉積阻障層,而製備銅層沉積用的阻障層表面,以及製備無電鈷合金沉積用的後CMP銅表面。如處理流程1100之步驟1101所述,蝕刻接觸部125的上層金屬插塞表面124a以移除原始金屬氧化物。或者,吾人可使用例如含氫電漿的還原電漿以移除金屬插塞表面氧化物。一旦移除金屬插塞表面氧化物之後,必需保護圖9A的曝露金屬表面124a以免於對氧的曝露。若移除處理為Ar濺鍍處理時,吾人可將Ar濺鍍反應器1171耦合至真空運送模組1170。若選擇溼式化學蝕刻處理時,此反應器應被耦合至控制環境運送模組1180,而非耦合至實驗室環境運送模組1160,以限制清潔之金屬插塞表面對氧的曝露。As described above, in the process flow 1100 of FIG. 11A, the substrate 1155 is brought to the integration system 1150 to deposit a barrier layer, to prepare a barrier layer surface for copper layer deposition, and to prepare a post-CMP copper surface for electroless cobalt alloy deposition. . The upper metal plug surface 124a of the contact 125 is etched to remove the original metal oxide as described in step 1101 of process flow 1100. Alternatively, a reducing plasma such as a hydrogen-containing plasma can be used to remove the metal plug surface oxide. Once the metal plug surface oxide is removed, the exposed metal surface 124a of Figure 9A must be protected from oxygen exposure. If the removal process is an Ar sputtering process, the Ar sputtering reactor 1171 can be coupled to the vacuum transport module 1170. If a wet chemical etch process is selected, the reactor should be coupled to the control environment transport module 1180 rather than to the laboratory environment transport module 1160 to limit the exposure of the cleaned metal plug surface to oxygen.

然後,如圖11A之步驟1103所述,以例如Ta、Ru、TaN、或這些膜之組合的金屬阻障層沉積基板。圖9B的阻障層130可藉由ALD處理或PVD處理加以沉積。在一實施例中,ALD處理係在小於1 Torr的壓力下操作。吾人將ALD反應器1173耦合至真空運送模組1170。在另一實施例中,此沉積處理為使用超臨界CO2 以及用以形成金屬阻障之有機金屬前驅物的高壓處理。在又另一實施例中,此沉積處理為在小於1 Torr壓力下操作的物理氣相沉積(PVD)處理。如圖11A之步驟1105所述,此基板能夠接受選用的還原處理,例如使用含氫電漿。氫氣還原反應器1174可耦合至真空運送模組1170。在這個階段,基板已做好無電銅沉積的準備。吾人可在無電銅電鍍反應器1181中進行無電銅電鍍以沉積正形的晶種層。在沉積晶種層之後,吾人可在用以沉積正形晶種層的相同無電銅沉積反應器1181中進行銅大量充填,但以不同的化學品達到大量充填。或者,銅的大量充填可在獨立的ECP反應器1181’中進行。Then, as described in step 1103 of FIG. 11A, the substrate is deposited with a metal barrier layer such as Ta, Ru, TaN, or a combination of these films. The barrier layer 130 of FIG. 9B can be deposited by ALD processing or PVD processing. In an embodiment, the ALD process operates at a pressure of less than 1 Torr. The ALD reactor 1173 is coupled to the vacuum transport module 1170. In another embodiment, the deposition process using supercritical CO 2 and a high pressure process for forming the barrier metal organometallic precursor. In yet another embodiment, the deposition process is a physical vapor deposition (PVD) process operating at a pressure of less than 1 Torr. As described in step 1105 of Figure 11A, the substrate can be subjected to an optional reduction treatment, such as the use of a hydrogen containing plasma. Hydrogen reduction reactor 1174 can be coupled to vacuum delivery module 1170. At this stage, the substrate is ready for electroless copper deposition. Electroless copper plating can be performed in the electroless copper plating reactor 1181 to deposit a positive seed layer. After depositing the seed layer, we can perform a large amount of copper filling in the same electroless copper deposition reactor 1181 used to deposit the positive seed layer, but achieve a large amount of filling with different chemicals. Alternatively, a large amount of copper can be filled in a separate ECP reactor 1181 '.

然後,如圖11A之步驟1109所述,從基板移除銅過覆層以及阻障過覆層。吾人可在一個CMP系統1183中,或在兩個CMP系統中達成移除銅過覆層與阻障過覆層。在圖11A所示的實施例中,吾人只使用一個CMP系統1183。在CMP移除銅與阻障過覆層之後,基板表面必需被清潔以移除表面污染物。溼式清理系統1185用以移除銅BTA錯合物以及金屬氧化物。O2 電漿系統1177用以移除有機污染物。在一實施例中,吾人可在氫氣還原室1174中進行用以移除有機污染物的O2 電漿處理。Then, as described in step 1109 of FIG. 11A, the copper overlying layer and the barrier overlayer are removed from the substrate. The removal of the copper overlayer and the barrier overcoat can be achieved in one CMP system 1183, or in two CMP systems. In the embodiment shown in Figure 11A, we only use one CMP system 1183. After the CMP removes the copper and barrier overcoat, the substrate surface must be cleaned to remove surface contaminants. A wet cleaning system 1185 is used to remove copper BTA complexes as well as metal oxides. An O 2 plasma system 1177 is used to remove organic contaminants. In one embodiment, an O 2 plasma treatment to remove organic contaminants can be performed in the hydrogen reduction chamber 1174.

如圖11A之步驟1112所述,在移除污染物之後,此基板接受還原處理。氫氣還原處理可發生在用以將阻障層還原成富含Ta的相同還原反應器1174中。在氫氣還原處理之後,銅表面已做好無電鈷合金沉積的準備,此可在反應器1187中進行。As described in step 1112 of Figure 11A, the substrate is subjected to a reduction process after removal of contaminants. Hydrogen reduction treatment can occur in the same reduction reactor 1174 used to reduce the barrier layer to Ta-rich. After the hydrogen reduction treatment, the copper surface is ready for electroless cobalt alloy deposition, which can be carried out in reactor 1187.

在基板離開整合系統1150之前,此基板能夠可選擇地接受表面清理處理,此可清理來自先前銅電鍍處理的殘留物。此基板清理處理可以係刷式清理處理,其反應器1163可與實驗室環境運送模組1160整合。Prior to exiting the integrated system 1150, the substrate can optionally undergo a surface cleaning process that cleans residues from previous copper plating processes. This substrate cleaning process can be a brush cleaning process, and the reactor 1163 can be integrated with the laboratory environment transport module 1160.

圖11B所述之耦合至控制環境運送模組1180的溼式處理系統必需完全符合乾進/乾出的需求以提供系統的整合。The wet processing system described in Figure 11B coupled to the control environment transport module 1180 must be fully compliant with dry/dry out requirements to provide system integration.

情況Ⅱ:雙金屬鑲嵌互連程序Case II: Dual Tessellation Interconnect Procedure

圖12A顯示在經由雙金屬鑲嵌處理進行圖型化後之互連結構的示範橫剖面。此互連結構係位於基板1200上並且具有氧化層100,此互連結構係事先被製造以於其中形成金屬化導線101。此金屬化導線典型上係藉由朝氧化層100內蝕刻一溝槽,然後以例如銅的導電材料填充而製成。Figure 12A shows an exemplary cross-section of an interconnect structure after patterning via a dual damascene process. This interconnect structure is located on the substrate 1200 and has an oxide layer 100 that is previously fabricated to form metallized wires 101 therein. The metallized wire is typically made by etching a trench into the oxide layer 100 and then filling it with a conductive material such as copper.

在此溝槽中,存在有阻障層120,其用以防止銅材料122擴散進入氧化層100。阻障層120可以係由氮化鉭(TaN)、鉭(Ta)、釕(Ru)、或這些膜的組合所構成。吾人亦可使用其他的阻障層材料。阻障層102係沉積覆蓋在銅材料122上方以在通孔蝕刻處理期間提供蝕刻終止,並且作為對於銅之介電層之間的擴散阻障。阻障層102可以係由例如氮化矽(SiN)或碳化矽的材料(SiC)所構成,或由適合與雙金屬鑲嵌處理流程整合的其他材料所構成。In this trench, there is a barrier layer 120 for preventing the copper material 122 from diffusing into the oxide layer 100. The barrier layer 120 may be composed of tantalum nitride (TaN), tantalum (Ta), ruthenium (Ru), or a combination of these films. We can also use other barrier materials. The barrier layer 102 is deposited over the copper material 122 to provide an etch stop during the via etch process and as a diffusion barrier between the dielectric layers for copper. The barrier layer 102 may be composed of a material such as tantalum nitride (SiN) or tantalum carbide (SiC), or other materials suitable for integration with a dual damascene process.

吾人將通孔介電層104沉積覆蓋在阻障層102上方。通孔介電層104可以係由例如二氧化矽的無機介電材料所構成,或較佳係由low-K介電材料所構成。示範的介電質可包含未掺雜的TEOS二氧化矽、掺氟的矽玻璃(FSG)、有機矽玻璃(OSG)、多孔隙OSG。市售的介電材料包含Aurora,Coral,Black Diamond(I)以及Black Diamond(Ⅱ)。在沉積通孔介電層104之後,吾人使用圖型化及蝕刻處理以形成通孔洞114。銅表面122a可藉由例如SiC或Si3 N4 的介電阻障層加以保護。圖12A顯示在形成通孔洞114以及溝槽116之後的雙金屬鑲嵌結構。位於通孔洞114下方的介電阻障層102已被移除。I deposited the via dielectric layer 104 over the barrier layer 102. The via dielectric layer 104 may be composed of an inorganic dielectric material such as cerium oxide or, preferably, a low-K dielectric material. Exemplary dielectrics can include undoped TEOS ceria, fluorine-doped bismuth glass (FSG), organic bismuth glass (OSG), and porous OSG. Commercially available dielectric materials include Aurora, Coral, Black Diamond (I) and Black Diamond (II). After depositing the via dielectric layer 104, a patterning and etching process is used to form the vias 114. The copper surface 122a can be protected by a dielectric barrier layer such as SiC or Si 3 N 4 . FIG. 12A shows a dual damascene structure after forming vias 114 and trenches 116. The dielectric barrier layer 102 underlying the via hole 114 has been removed.

圖12B顯示在形成通孔洞114及溝槽116之後沿著通孔洞114’與溝槽116沉積第一阻障層130I 、第二阻障層130 、以及銅層132。阻障層130I 、130 皆可由氮化鉭(TaN)、鉭(Ta)、或釕(Ru)所構成。吾人亦可使用其他的阻障層材料。在一實施例中,第一阻障層130I 係由ALD所沉積的薄TaN層;而第二阻障層130 係由閃鍍PVD所沉積之非常薄的Ta層,或由ALD或PVD所沉積的Ru層。在一實施例中,第一阻障層130I 的厚度係介於約10埃至約150埃之間;而第二阻障層130 的厚度係介於約10埃至約50埃之間。此薄ALD TaN層可提供位於通孔洞114’與溝槽116上方之阻障層的正形覆蓋。此薄PVD Ta層或Ru層可對待沉積覆蓋於阻障層130I 與130 上方的銅膜132提供良好的附著性。典型上,由PVD處理所沉積的阻障層並不具有良好的階梯覆蓋(或者此薄膜並非正形)。因此,吾人需要ALD阻障層以確保通孔洞與溝槽內部的良好阻障覆蓋。在另一實施例中,吾人將第一阻障層130I 與第二阻障層130 結合成一個單一層,此可藉由ALD或PVD加以沉積。此種單一層阻障的材料可以係鉭、氮化鉭、釕、或這些膜的組合。12B shows that a first barrier layer 130 I , a second barrier layer 130 II , and a copper layer 132 are deposited along the via 114 ' and the trench 116 after the via 114 and the trench 116 are formed. Each of the barrier layers 130 I and 130 II may be composed of tantalum nitride (TaN), tantalum (Ta), or ruthenium (Ru). We can also use other barrier materials. In one embodiment, the first barrier layer 130 I is a thin TaN layer deposited by ALD; and the second barrier layer 130 II is a very thin Ta layer deposited by flash plating PVD, or by ALD or PVD The deposited Ru layer. In one embodiment, the first barrier layer 130 I has a thickness between about 10 angstroms and about 150 angstroms; and the second barrier layer 130 II has a thickness between about 10 angstroms and about 50 angstroms. . This thin ALD TaN layer can provide a positive coverage of the barrier layer above the via 114' and trench 116. This thin PVD Ta layer or Ru layer can provide good adhesion to the copper film 132 overlying the barrier layers 130 I and 130 II . Typically, the barrier layer deposited by PVD processing does not have good step coverage (or the film is not conformal). Therefore, we need an ALD barrier layer to ensure good barrier coverage inside the via and trench. In another embodiment, the first barrier layer 130 I and the second barrier layer 130 II are combined into a single layer, which can be deposited by ALD or PVD. Such a single layer barrier material may be tantalum, tantalum nitride, niobium, or a combination of these films.

在沉積第一與第二阻障層130I 、130 之後,基板接受上述要求的表面處理步驟以確保阻障層表面富含Ta。然後吾人使用PVD晶種層131或無電晶種層131其中之一沉積銅膜132,接著以厚溝填銅層填充通孔洞114與溝槽116。After depositing the first and second barrier layers 130 I , 130 II , the substrate is subjected to the desired surface treatment steps described above to ensure that the barrier layer surface is rich in Ta. Then, a person deposits a copper film 132 using one of the PVD seed layer 131 or the electroless seed layer 131, and then fills the via hole 114 and the trench 116 with a thick trench copper filling layer.

如圖12C所示,在銅膜132填滿通孔洞114與溝槽116之後,將基板1200進行平坦化以移除覆蓋於介電層106之表面上方的銅材料(或銅過覆層)與阻障層(或阻障過覆層)。然後此基板接受上述要求的表面處理步驟,以確保基板表面為清潔,並且從銅表面移除銅氧化物。如圖12D所示,下一個步驟為以例如鈷合金的銅/SiC介面附著促進層135覆蓋銅表面140。鈷合金的範例包含:CoWP、CoWB、或CoWBP,其可藉由無電處理選擇性地沉積覆蓋在銅的上方。附著促進層的厚度可以係薄至單一分子層,其只有數埃;而對於較厚層時,其可例如係200埃。As shown in FIG. 12C, after the copper film 132 fills the via 114 and the trench 116, the substrate 1200 is planarized to remove the copper material (or copper overlying layer) overlying the surface of the dielectric layer 106. Barrier layer (or barrier overlayer). This substrate then receives the required surface treatment steps described above to ensure that the substrate surface is clean and that copper oxide is removed from the copper surface. As shown in FIG. 12D, the next step is to cover the copper surface 140 with a copper/SiC interface adhesion promoting layer 135 such as a cobalt alloy. Examples of cobalt alloys include: CoWP, CoWB, or CoWBP, which can be selectively deposited over copper by electroless treatment. The thickness of the adhesion promoting layer may be as thin as a single molecular layer, which is only a few angstroms; and for a thicker layer, it may be, for example, 200 angstroms.

圖13A顯示用以製備無電銅沉積用之阻障(或襯墊)層表面以及製備無電鈷合金沉積用之後CMP銅表面的處理流程實施例。在步驟1301,清理金屬線101的上表面122a以移除原始銅氧化物。銅氧化物可藉由Ar濺鍍處理或溼式化學蝕刻處理加以移除。在步驟1302,在ALD系統中沉積第一阻障層(圖12B的130I )。在步驟1303,在PVD系統中沉積第二阻障層(圖12B的130 )。如上所述,對於確保以銅與阻障層間的良好附著性沉積無電銅於阻障層上而言,防止阻障層曝露於氧係其關鍵。一旦沉積阻障層之後,基板應在控制周圍環境中被運送或處理以限制對氧的曝露。在步驟1305吾人以還原電漿(即含氫)處理阻障層,以產生富含金屬的層,此種層將提供隨後銅晶種沉積步驟用的催化表面。根據表面的成分,此還原電漿處理為可選擇。Figure 13A shows an embodiment of a process flow for preparing a barrier (or liner) layer surface for electroless copper deposition and for preparing a CMP copper surface after electroless cobalt alloy deposition. At step 1301, the upper surface 122a of the metal line 101 is cleaned to remove the original copper oxide. The copper oxide can be removed by Ar sputtering or wet chemical etching. In step 1302, a first barrier layer (130 I of FIG. 12B) is deposited in an ALD system. At step 1303, a second barrier layer (130 II of Figure 12B) is deposited in the PVD system. As described above, it is essential to prevent the barrier layer from being exposed to oxygen in order to ensure that the electroless copper is deposited on the barrier layer with good adhesion between the copper and the barrier layer. Once the barrier layer is deposited, the substrate should be transported or treated in a controlled environment to limit exposure to oxygen. At step 1305, the barrier layer is treated with a reducing plasma (i.e., containing hydrogen) to produce a metal-rich layer that will provide a catalytic surface for subsequent copper seeding deposition steps. This reduction plasma treatment is optional depending on the composition of the surface.

然後,在步驟1307吾人將正形銅晶種層沉積在阻障層表面上,接著在步驟1308進行厚的銅大量充填(或溝填)處理。此正形的銅晶種層可藉由無電處理加以沉積。此種厚的銅大量充填(亦為溝填)層可藉由ECP處理加以沉積。或者,此種厚的銅大量充填(亦為溝填)層可藉由在用於正形銅晶種層之相同無電系統中的無電處理但以不同的化學品加以沉積。Then, in step 1307, a person deposits a layer of a positive copper seed on the surface of the barrier layer, followed by a thick copper bulk fill (or trench fill) process at step 1308. This positive copper seed layer can be deposited by electroless treatment. This thick copper bulk fill (also trench fill) layer can be deposited by ECP processing. Alternatively, such a thick copper bulk (also trench fill) layer can be deposited by electroless treatment in a identical electroless system for a positive copper seed layer but with different chemicals.

如圖11C所示,在步驟1307以正形銅晶種層沉積基板,並且在步驟1308以無電或電鍍處理進行厚的Cu大量充填之後,於步驟1309,從位於覆蓋介電層106之阻障層130上方的基板表面移除銅層132。然後移除阻障層。這些移除處理同時在圖13A的處理步驟1309中進行。吾人可藉由CMP(此為溼式處理)達成從位於阻障層上方的表面移除銅。阻障層可使用CF4 電漿、O2 /Ar濺鍍、CMP、或溼式化學蝕刻加以移除。先前已說明過這些阻障蝕刻處理。As shown in FIG. 11C, the substrate is deposited in a stepped copper seed layer at step 1307, and after a large amount of thick Cu is filled in a step 1308 by electroless or electroplating, in step 1309, from the barrier at the capping dielectric layer 106. The surface of the substrate above layer 130 removes copper layer 132. Then remove the barrier layer. These removal processes are simultaneously performed in process step 1309 of Figure 13A. We can remove copper from the surface above the barrier layer by CMP (this is a wet process). The barrier layer can be removed using CF 4 plasma, O 2 /Ar sputtering, CMP, or wet chemical etching. These barrier etch processes have been previously described.

在移除阻障層之後,進行用以移除Cu-BTA錯合物的清理處理以及金屬氧化物(步驟1310)與有機污染物移除處理(步驟1311),以從基板表面移除污染物。以上已說明過在金屬CMP之後使用此兩步驟之基板表面清理的細節。After removing the barrier layer, a cleaning process to remove the Cu-BTA complex and metal oxide (step 1310) and organic contaminant removal process (step 1311) are performed to remove contaminants from the substrate surface. . Details of the surface cleaning of the substrate using this two-step process after metal CMP have been described above.

於步驟1312,在從基板表面移除表面污染物之後,以例如含氫電漿的還原電漿處理基板,而將所有殘留的金屬氧化物還原成金屬。在氫氣還原處理之後,銅表面係非常清潔且具催化作用,並且已做好鈷合金無電沉積的準備。在步驟1313,此基板接受鈷合金的無電沉積以及基板的沖洗與乾燥。最後的處理步驟1315為用以清理來自先前無電鈷合金沉積之任何殘留污染物的可選擇基板清理步驟。At step 1312, after removing surface contaminants from the surface of the substrate, the substrate is treated with a reducing plasma such as a hydrogen-containing plasma to reduce all of the residual metal oxide to metal. After the hydrogen reduction treatment, the copper surface is very clean and catalytic, and preparation for electroless deposition of the cobalt alloy has been made. At step 1313, the substrate receives electroless deposition of the cobalt alloy and rinsing and drying of the substrate. The final processing step 1315 is an optional substrate cleaning step to clean any residual contaminants from previous electroless cobalt alloy deposits.

圖13B顯示在製備阻障層及銅表面之後以關鍵步驟使基板表面對氧之曝露降至最低之整合系統1350的概略圖實施例。此外,由於此為整合系統,所以基板會立即從一處理站運送至下一個處理站,此可限制清潔之銅表面對低濃度氧曝露的期間。整合系統1350可透過圖13A之流程1300的整個處理程序而用以處理基板。Figure 13B shows an overview of an integrated system 1350 that minimizes oxygen exposure of the substrate surface in a critical step after preparing the barrier layer and the copper surface. Moreover, since this is an integrated system, the substrate is immediately transported from one processing station to the next, which limits the exposure of the cleaned copper surface to low concentrations of oxygen. The integration system 1350 can be used to process the substrate through the entire process of the process 1300 of FIG. 13A.

整合系統1350具有3個基板運送模組1360、1370及1380。運送模組1360、1370及1380可安裝自動控制裝置,以將基板1355從一處理區域移至另一處理區域。此處理區域可以係基板載具、反應器、或承載室。基板運送模組1360係在實驗室環境下進行操作。模組1360以基板裝載器(或基板載具)1361作為介面,以將基板1355帶入此整合系統,或使此基板回到載具1361其中之一。The integration system 1350 has three substrate transport modules 1360, 1370, and 1380. Transport modules 1360, 1370, and 1380 can be equipped with automatic controls to move substrate 1355 from one processing region to another. This processing area can be a substrate carrier, a reactor, or a carrier chamber. The substrate transport module 1360 operates in a laboratory environment. The module 1360 uses a substrate loader (or substrate carrier) 1361 as an interface to bring the substrate 1355 into the integrated system or return the substrate to one of the carriers 1361.

如上所述在圖11A之處理流程1300中,基板1355被帶至整合系統1350以沉積阻障層,而製備銅層沉積用的阻障層表面,並且製備無電鈷合金沉積用的後CMP銅表面。如處理流程1300之步驟1301所述,蝕刻金屬線101的上端銅表面122a以移除原始銅氧化物。一旦移除銅氧化物之後,圖12A的曝露鎢表面122a必需被保護以免於對氧的曝露。若移除處理為Ar濺鍍處理時,吾人可將Ar濺鍍反應器1371耦合至真空運送模組1370。若選擇溼式化學蝕刻處理,此反應器應被耦合至控制環境運送模組1380,而非耦合至實驗室環境運送模組1360,以限制清潔之鎢表面對氧的曝露。As described above, in the process flow 1300 of FIG. 11A, the substrate 1355 is brought to the integration system 1350 to deposit a barrier layer to prepare a barrier layer surface for copper layer deposition, and a post-CMP copper surface for electroless cobalt alloy deposition is prepared. . The upper end copper surface 122a of the metal line 101 is etched to remove the original copper oxide as described in step 1301 of process flow 1300. Once the copper oxide is removed, the exposed tungsten surface 122a of Figure 12A must be protected from exposure to oxygen. If the removal process is an Ar sputtering process, the Ar sputtering reactor 1371 can be coupled to the vacuum transport module 1370. If a wet chemical etch process is selected, the reactor should be coupled to the control environment transport module 1380 rather than to the laboratory environment transport module 1360 to limit the exposure of the cleaned tungsten surface to oxygen.

然後,以第一及第二阻障層沉積基板。圖12B的第一阻障層130I 可藉由ALD處理加以沉積,此為乾式處理並且在小於1 Torr的壓力下操作。吾人將ALD反應器1372耦合至真空運送模組1370。圖12B的第二阻障層130 可藉由PVD或ALD處理加以沉積,此為乾式處理並且在小於1 Torr的壓力下操作。吾人可將PVD反應器1373耦合至真空運送模組1370。此基板能夠接受選用的氫氣還原處理,以確保阻障層表面對於無電銅沉積係富含金屬。氫氣還原反應器1374可耦合至真空運送模組1370。在這個階段,基板已做好無電銅沉積的準備。如圖13A之步驟1307所述,吾人可在無電銅電鍍反應器1381中進行無電銅電鍍以沉積正形的銅晶種層。如上所述,吾人可在相同的無電電鍍反應器1381中以不同化學品,或在獨立的ECP反應器1381’中進行圖13A之步驟1308的溝填銅層沉積。Then, the substrate is deposited with the first and second barrier layers. The first barrier layer 130 I of Figure 12B can be deposited by ALD processing, which is dry processing and operates at a pressure of less than 1 Torr. The ALD reactor 1372 is coupled to the vacuum transport module 1370. The second barrier layer 130 II of Figure 12B can be deposited by PVD or ALD processing, which is dry processing and operates at a pressure of less than 1 Torr. The PVD reactor 1373 can be coupled to the vacuum transport module 1370. The substrate is capable of undergoing an optional hydrogen reduction treatment to ensure that the surface of the barrier layer is rich in metal for the electroless copper deposition system. Hydrogen reduction reactor 1374 can be coupled to vacuum delivery module 1370. At this stage, the substrate is ready for electroless copper deposition. As described in step 1307 of Figure 13A, electroless copper plating can be performed in an electroless copper plating reactor 1381 to deposit a positive copper seed layer. As noted above, the trench fill layer deposition of step 1308 of Figure 13A can be performed in the same electroless plating reactor 1381 with different chemicals, or in a separate ECP reactor 1381'.

然後,如圖13A之步驟1309所述,從基板移除銅過覆層以及阻障過覆層。吾人可在一個CMP系統1383中,或在兩個CMP系統中達成移除銅過覆層以及阻障過覆層。在圖13A所示的實施例中,吾人只使用一個CMP系統1383。在CMP移除銅及阻障過覆層之後,基板表面必需被清潔以移除表面污染物。溼式清理系統1385係用以移除銅BTA錯合物以及金屬氧化物。O2 電漿系統1377係用以移除有機污染物。在一實施例中,吾人可在氫氣還原室1374中進行用以移除有機污染物的O2 電漿處理。Then, as described in step 1309 of FIG. 13A, the copper overlying layer and the barrier overlayer are removed from the substrate. The removal of the copper overlying layer and the barrier overcoat can be achieved in one CMP system 1383 or in two CMP systems. In the embodiment shown in Figure 13A, we only use one CMP system 1383. After the CMP removes the copper and barrier overcoat, the substrate surface must be cleaned to remove surface contaminants. Wet cleaning system 1385 is used to remove copper BTA complexes as well as metal oxides. The O 2 plasma system 1377 is used to remove organic contaminants. In one embodiment, we may perform an O 2 plasma treatment to remove organic contaminants in a hydrogen reduction chamber 1374.

如圖13A之步驟1312所述,在移除污染物之後,基板接受還原處理。此氫氣還原處理係用以將銅氧化物還原成銅,並且可發生於用以將阻障層還原成富含Ta的相同還原反應器1374中。在氫氣還原處理之後,銅表面已做好無電鈷合金沉積的準備,其可在反應器1387中進行。As described in step 1312 of Figure 13A, after removal of the contaminants, the substrate is subjected to a reduction process. This hydrogen reduction treatment is used to reduce copper oxide to copper and can occur in the same reduction reactor 1374 used to reduce the barrier layer to Ta-rich. After the hydrogen reduction treatment, the copper surface is ready for electroless cobalt alloy deposition, which can be carried out in reactor 1387.

在基板離開整合系統1350之前,此基板能夠可選擇地接受表面清理處理,此可清理來自先前銅電鍍處理的殘留物。此基板清理處理可以係刷式清理處理,其反應器1363可與實驗室環境運送模組1360整合。Prior to exiting the integrated system 1350, the substrate can optionally undergo a surface cleaning process that cleans residues from previous copper plating processes. The substrate cleaning process can be a brush cleaning process, and the reactor 1363 can be integrated with the laboratory environment shipping module 1360.

圖13B所述之耦合至控制環境運送模組1380的溼式處理系統必需完全符合乾進/乾出的需求,以提供系統的整合。The wet processing system described in Figure 13B coupled to the control environment transport module 1380 must be fully compliant with dry/dry out requirements to provide system integration.

上述設備及方法(或處理)可應用於製備隨後金屬沉積用的金屬表面,以改善金屬對金屬的附著性以及EM性能。The above apparatus and method (or process) can be applied to the preparation of metal surfaces for subsequent metal deposition to improve metal-to-metal adhesion and EM properties.

3.安排用以形成金屬矽化層之選擇性無電金屬沉積用的矽表面3. Arranging a crucible surface for selective electroless metal deposition for forming a metal deuteration layer

吾人可使用迄今所述的處理而改善EM性能、金屬電阻率,並且產生銅互連線,例如接觸部、通孔及金屬線。在較早期的積體電路製造程序中,另一種金屬沉積被應用在矽或多晶矽表面上,以在源極/汲極/閘極、電阻、結構的接觸著陸區(例如電阻的接觸著陸區)、閘極部位、電容器部位或裝置的電感器部位中形成金屬矽化層,並且提供良好的歐姆接觸。圖14A係閘極結構127的橫剖面,此結構包含位於矽基板110上的薄閘極氧化層121、多晶矽層115及氮化物隔板107。淺溝隔離(STI,shallow trench isolation)65用以分隔主動元件。在閘極結構的兩端為源極區域61與汲極區域63。在源極區域61上,存在有曝露的矽表面62。在汲極區域63上,存在有曝露的矽表面64。在多晶矽層115上,存在有曝露的多晶矽109。吾人形成金屬矽化層以降低表面電阻。We can use the processes described so far to improve EM performance, metal resistivity, and to create copper interconnects such as contacts, vias, and metal lines. In earlier integrated circuit fabrication procedures, another metal deposition was applied to the surface of the germanium or polysilicon to contact the landing region of the source/drain/gate, resistor, structure (eg, contact landing area of the resistor) A metal deuteration layer is formed in the gate portion, the capacitor portion, or the inductor portion of the device, and provides good ohmic contact. 14A is a cross section of a gate structure 127 including a thin gate oxide layer 121, a polysilicon layer 115, and a nitride spacer 107 on the germanium substrate 110. STP (shallow trench isolation) 65 is used to separate the active components. At both ends of the gate structure are a source region 61 and a drain region 63. On the source region 61, there is an exposed crucible surface 62. On the drain region 63, there is an exposed crucible surface 64. On the polysilicon layer 115, there is an exposed polysilicon 109. We form a metal deuteration layer to reduce the surface resistance.

如圖14B所示,為了形成金屬矽化層,首先將例如鎳(Ni)、鈦(Ti)、或鈷(Co)的金屬111沉積在矽表面上。目前,金屬111係藉由PVD處理沉積在基板表面上,並且其對矽或介電區域不具選擇性。然後吾人將此金屬進行回火,以在金屬與矽或多晶矽基板接觸的區域中形成金屬矽合金(矽化物)。沒有矽化物形成在介電區域中。選擇性地移除未反應成矽化物的金屬,包含介電區域中的金屬與位於矽化區域上方之剩餘的未反應金屬。無電金屬沉積係可用以取代目前Co或Ni的沉積處理之替代程序。這些優點為:可產生較厚的金屬矽化層以及提供改善的蝕刻終止特性,並且允許金屬-金屬接點的形成。為了確保無電金屬沉積的進行,矽表面必需係非常清潔並且沒有原始矽氧化物。如圖14C所示,在金屬111選擇性地沉積在矽表面62、64上之後,使基板在高溫下進行熱處理,例如介於約800℃至約900℃之間,以形成金屬矽化層113。如圖14D所示,形成的矽化層113可使接觸部125與汲極區域61產生電性連接。As shown in FIG. 14B, in order to form a metal deuterated layer, a metal 111 such as nickel (Ni), titanium (Ti), or cobalt (Co) is first deposited on the surface of the crucible. Currently, metal 111 is deposited on the surface of the substrate by PVD processing and is not selective for germanium or dielectric regions. The metal is then tempered to form a metal ruthenium alloy (telluride) in the region where the metal is in contact with the tantalum or polycrystalline germanium substrate. No telluride is formed in the dielectric region. The metal that is not reacted to the telluride is selectively removed, including the metal in the dielectric region and the remaining unreacted metal above the deuterated region. An electroless metal deposition system can be used to replace the current alternative process for deposition of Co or Ni. These advantages are: a thicker metal deuteration layer can be produced as well as providing improved etch stop characteristics and allowing the formation of metal-to-metal contacts. In order to ensure the deposition of electroless metal, the surface of the crucible must be very clean and free of the original niobium oxide. As shown in FIG. 14C, after the metal 111 is selectively deposited on the crucible surfaces 62, 64, the substrate is subjected to a heat treatment at a high temperature, for example, between about 800 ° C and about 900 ° C to form a metal deuterated layer 113. As shown in FIG. 14D, the formed deuterated layer 113 can electrically connect the contact portion 125 with the drain region 61.

如上所述,在無電金屬沉積之前的表面製備必需在控制周圍環境中實施,以確保於其上將進行無電沉積的表面不會曝露於氧。圖15A顯示用以形成金屬矽化層之處理流程1500的實施例。在步驟1501,從所有的介電層表面移除金屬污染物;此可使用已知的方法及化學品進行。步驟1501為選用的步驟,只有當存在表面金屬污染物的問題時才需要此步驟。在步驟1502,接著從基板表面移除有機污染物。如上所述,有機污染物可藉由各種不同的乾式或溼式處理加以移除。然後,在步驟1503矽表面被還原而將原生矽氧化物轉變成矽。原生矽氧化物為自限過程;因此,這種氧化層相當薄並且在還原處理之前不需要氧化物移除步驟。如上所述,此還原處理可以係氫氣電漿處理。As noted above, surface preparation prior to electroless metal deposition must be performed in a controlled ambient environment to ensure that the surface on which electroless deposition will occur is not exposed to oxygen. Figure 15A shows an embodiment of a process flow 1500 for forming a metal deuterated layer. At step 1501, metal contaminants are removed from all of the dielectric layer surfaces; this can be done using known methods and chemicals. Step 1501 is an optional step that is required only if there is a problem with surface metal contaminants. At step 1502, organic contaminants are then removed from the surface of the substrate. As noted above, organic contaminants can be removed by a variety of different dry or wet treatments. Then, at step 1503, the surface is reduced to convert the native cerium oxide into cerium. The native niobium oxide is a self-limiting process; therefore, this oxide layer is rather thin and does not require an oxide removal step prior to the reduction treatment. As described above, this reduction treatment can be a hydrogen plasma treatment.

在表面還原之後,此矽表面已做好無電金屬沉積的準備。在步驟1505例如Ni、Ti、或Co的金屬被選擇性地沉積在曝露的矽(包含多晶矽)表面上方。選擇性的金屬沉積可藉由無電處理而達成。在進行無電金屬沉積之後,於步驟1507此基板接受使用已知方法及化學品的可選擇基板清理。在步驟1509然後此基板接受高溫處理(或回火)以形成金屬矽化層。After surface reduction, the surface of the crucible is ready for electroless metal deposition. A metal such as Ni, Ti, or Co at step 1505 is selectively deposited over the exposed surface of the germanium (including polysilicon). Selective metal deposition can be achieved by electroless processing. After electroless metal deposition, the substrate is subjected to optional substrate cleaning using known methods and chemicals in step 1507. At step 1509 the substrate is then subjected to a high temperature treatment (or tempering) to form a metal deuteration layer.

圖15B顯示包含實驗室環境運送模組1560、真空運送模組1570、以及控制環境運送模組1580之整合系統1550的實施例。吾人可將實驗室環境運送模組1560耦合至固持基板1555的載具1561。在一實施例中,金屬污染物可藉由溼式清理處理加以移除,例如上述用以移除金屬污染物之溼式清理處理的其中之一。吾人可在耦合至實驗室環境運送模組1560的腔室1563中進行此溼式清理。由於此處理步驟為可選擇,故以點構成圖15B的腔室1563。在移除金屬污染物之後,移除有機污染物。在一實施例中,有機污染物可在反應器1571中之例如O2 、H2 O、或臭氧電漿的氧化電漿中被移除,由於O2 電漿處理為在低於1 Torr壓力下操作的低壓乾式處理,所以此反應器耦合至真空運送模組1570。15B shows an embodiment of an integrated system 1550 that includes a laboratory environment transport module 1560, a vacuum transport module 1570, and a control environment transport module 1580. The laboratory environment transport module 1560 can be coupled to the carrier 1561 holding the substrate 1555. In one embodiment, the metal contaminants may be removed by a wet cleaning process, such as one of the wet cleaning processes described above to remove metal contaminants. This wet cleaning can be performed in chamber 1563 coupled to laboratory environment transport module 1560. Since this processing step is optional, the chamber 1563 of Fig. 15B is formed in dots. After removing metal contaminants, remove organic contaminants. In one embodiment, organic contaminants may be removed in an oxidizing plasma such as O 2 , H 2 O, or ozone plasma in reactor 1571 due to O 2 plasma treatment at pressures below 1 Torr The low pressure dry process of operation is such that the reactor is coupled to the vacuum transport module 1570.

然後,吾人可在反應器1573中進行流程1500之步驟1503的矽表面還原。然後將此基板運送至下一個系統以金屬進行沉積,此金屬係用以在無電處理反應器1581中形成金屬矽化層(或矽化金屬)。透過真空運送模組1570、承載室1575、以及控制環境運送模組1580而運送基板,並且最後抵達用以進行處理的反應器1581中。無電金屬沉積反應器1581可安裝沖洗/乾燥系統。如圖15A之處理步驟1507所述,在金屬沉積之後此基板可於溼式清理室1583中接受選用的基板清理。在進行無電沉積之後,基板被送至例如快速熱處理(RTP,rapid thermal processing)反應器的熱反應器1576以形成金屬矽化層。Then, we can perform the ruthenium surface reduction of step 1503 of Scheme 1500 in reactor 1573. The substrate is then transported to the next system for deposition with a metal used to form a metal deuteration layer (or deuterated metal) in the electroless treatment reactor 1581. The substrate is transported through the vacuum transport module 1570, the load compartment 1575, and the control environment transport module 1580, and finally reaches the reactor 1581 for processing. The electroless metal deposition reactor 1581 can be equipped with a rinsing/drying system. As described in process step 1507 of Figure 15A, the substrate can be subjected to an optional substrate cleaning in the wet cleaning chamber 1583 after metal deposition. After electroless deposition, the substrate is sent to a thermal reactor 1576, such as a rapid thermal processing (RTP) reactor, to form a metal deuterated layer.

上述這些系統提供需要混合之低壓乾式處理、高壓處理、以及溼式處理的基板處理,這些處理係待整合在一起以關鍵處理步驟限制氧的曝露。圖16顯示如何整合不同處理的概略圖。此實驗室環境運送模組可與沒有必要限制對氧曝露(或不受控制之處理)的載具、溼式處理、以及乾式處理整合。此真空運送模組可與低壓乾式處理整合。此真空運送模組係在例如低於1 Torr的真空下進行操作;因此,吾人可限制及控制對氧的曝露。承載室I可使基板在實驗室環境運送模組與真空運送模組之間運送。控制環境運送模組可與溼式處理、近大氣壓力處理、以及高壓處理整合。「高壓」一詞係用以區分低壓處理。高壓處理的壓力係與大於大氣壓力處理的壓力有關,例如上述的超臨界CO2 處理。在一實施例中,在高壓處理室與控制環境運送模組之間存在一承載室(無顯示),以確保基板在運送模組與處理室之間被有效地運送。承載室Ⅱ可使基板在真空運送模組與控制環境運送模組之間運送。吾人以惰性氣體填充此控制環境運送模組與耦合至此控制環境運送模組的反應器,以限制對氧的曝露。承載室Ⅱ可被抽真空以從真空運送模組接收基板。吾人亦可用惰性氣體填充承載室Ⅱ,而與控制環境運送模組交換基板。These systems provide substrate processing requiring low pressure dry processing, high pressure processing, and wet processing, which are to be integrated to limit oxygen exposure with critical processing steps. Figure 16 shows an overview of how to integrate different processes. This laboratory environmental transport module can be integrated with carriers, wet processing, and dry processing that do not necessarily limit oxygen exposure (or uncontrolled disposal). This vacuum transport module can be integrated with low pressure dry processing. The vacuum transport module operates at a vacuum of, for example, less than 1 Torr; therefore, we can limit and control the exposure to oxygen. The carrier chamber I allows the substrate to be transported between the laboratory environment transport module and the vacuum transport module. The control environment transport module can be integrated with wet processing, near atmospheric pressure processing, and high pressure processing. The term "high pressure" is used to distinguish between low pressure treatment. The pressure of the high pressure treatment is related to the pressure greater than atmospheric pressure treatment, such as the supercritical CO 2 treatment described above. In one embodiment, a load compartment (no display) is present between the high pressure processing chamber and the control environment transport module to ensure that the substrate is effectively transported between the transport module and the processing chamber. The carrier chamber II allows the substrate to be transported between the vacuum transport module and the control environment transport module. The control environment transport module and the reactor coupled to the control environment transport module are filled with inert gas to limit exposure to oxygen. The load bearing chamber II can be evacuated to receive the substrate from the vacuum transport module. We can also fill the load compartment II with inert gas and exchange the substrate with the control environment transport module.

雖然本發明已依據數個實施例進行說明,但吾人將明白:在閱讀上述說明以及細觀圖式之後,熟習本項技藝者將瞭解本發明之各種不同的修改、附加、以及等效設計。因此,此係意指本發明包含例如落入本發明之法定精神與範圍的所有此種修改、附加、變更、以及等效設計。在申請專利範圍中,元件及/或步驟並非暗指任何操作順序,除非在申請專利範圍中有明確陳述。While the invention has been described with respect to the embodiments the embodiments of the present invention Therefore, it is intended that the present invention include all such modifications, additions, modifications, and In the context of the patent application, the elements and/or steps do not imply any order of operation unless explicitly stated in the scope of the claims.

20...鈷合金覆蓋層20. . . Cobalt alloy coating

23...銅層twenty three. . . Copper layer

24...阻障層twenty four. . . Barrier layer

25...介電覆蓋SiC層25. . . Dielectric covering SiC layer

30...鈷合金覆蓋層30. . . Cobalt alloy coating

33...銅層33. . . Copper layer

34...阻障層34. . . Barrier layer

35...介電覆蓋SiC層35. . . Dielectric covering SiC layer

50...基板50. . . Substrate

60...基板60. . . Substrate

61...源極區域61. . . Source area

62...矽表面62. . .矽 surface

63...汲極區域63. . . Bungee area

64...矽表面64. . .矽 surface

65...淺溝隔離65. . . Shallow trench isolation

100...介電層100. . . Dielectric layer

101...金屬化導線101. . . Metallized wire

102...阻障層102. . . Barrier layer

103...氧化層103. . . Oxide layer

104...通孔介電層104. . . Through hole dielectric layer

105...閘極結構105. . . Gate structure

106...溝槽介電層106. . . Trench dielectric layer

107...隔板107. . . Partition

109...曝露的多晶矽109. . . Exposed polycrystalline germanium

110...矽基板110. . .矽 substrate

111...金屬111. . . metal

113...金屬矽化層113. . . Metal deuterated layer

114...通孔洞114. . . Through hole

114’...通孔洞114’. . . Through hole

115...多晶矽層115. . . Polycrystalline layer

116...溝槽116. . . Trench

120...阻障層120. . . Barrier layer

121...閘極氧化層121. . . Gate oxide layer

122...銅材料122. . . Copper material

122a...銅表面122a. . . Copper surface

124a...曝露的金屬表面124a. . . Exposed metal surface

125...接觸部125. . . Contact

127...閘極結構127. . . Gate structure

130...阻障層130. . . Barrier layer

130I ...第一阻障層130 I . . . First barrier layer

130 ...第二阻障層130 II . . . Second barrier layer

131...銅晶種層131. . . Copper seed layer

132...銅層132. . . Copper layer

135...介面附著促進層135. . . Interface adhesion promoting layer

140...銅表面140. . . Copper surface

140’...還原的銅表面140’. . . Reduced copper surface

400...處理流程400. . . Processing flow

401...從基板表面移除金屬有機錯合物及金屬氧化物的步驟401. . . Step of removing metal organic complex and metal oxide from the surface of the substrate

403...從基板表面移除有機污染物的步驟403. . . Steps to remove organic contaminants from the surface of the substrate

405...還原銅表面的步驟405. . . Steps to reduce the copper surface

407...沉積鈷合金並且進行沖洗與乾燥的步驟407. . . Step of depositing a cobalt alloy and performing rinsing and drying

409...以後鈷合金沉積清理處理清潔基板表面的步驟409. . . Step of cleaning the surface of the substrate after the cobalt alloy deposition cleaning process

450...整合系統450. . . Integrated system

455...基板455. . . Substrate

460...實驗室環境運送模組460. . . Laboratory environment transport module

461...基板裝載器461. . . Substrate loader

463...溼式清理反應器463. . . Wet cleaning reactor

463’...溼式清理反應器463’. . . Wet cleaning reactor

465...承載室465. . . Carrying room

470...真空運送模組470. . . Vacuum transport module

471...O2 電漿處理反應器471. . . O 2 plasma treatment reactor

473...含氫還原電漿還原室473. . . Hydrogen reduction plasma reduction chamber

475...承載室475. . . Carrying room

480...控制環境運送模組480. . . Control environment transport module

481...無電沉積系統481. . . Electroless deposition system

483...溼式清理系統483. . . Wet cleaning system

490...控制環境490. . . Control environment

600...處理流程600. . . Processing flow

601...從基板表面移除銅錯合物及金屬氧化物的步驟601. . . Step of removing copper complex and metal oxide from the surface of the substrate

602...從基板表面移除有機污染物的步驟602. . . Steps to remove organic contaminants from the substrate surface

603...移除位於介電層上方之阻障層的步驟603. . . Steps to remove the barrier layer above the dielectric layer

605...還原銅表面的步驟605. . . Steps to reduce the copper surface

607...沉積鈷合金並且進行沖洗/乾燥的步驟607. . . Step of depositing cobalt alloy and rinsing/drying

609...以後鈷合金沉積清理處理清潔基板表面的步驟609. . . Step of cleaning the surface of the substrate after the cobalt alloy deposition cleaning process

650...整合系統650. . . Integrated system

655...基板655. . . Substrate

660...實驗室環境運送模組660. . . Laboratory environment transport module

661...基板裝載器661. . . Substrate loader

663...溼式清理反應器663. . . Wet cleaning reactor

665...承載室665. . . Carrying room

670...真空運送模組670. . . Vacuum transport module

671...O2 電漿處理反應器671. . . O 2 plasma treatment reactor

673...阻障層蝕刻室673. . . Barrier layer etching chamber

674...H2 電漿還原室674. . . H 2 plasma reduction chamber

675...承載室675. . . Carrying room

680...控制環境運送模組680. . . Control environment transport module

681...無電沉積系統681. . . Electroless deposition system

683...後沉積清理反應器683. . . Post-deposition cleaning reactor

800...處理流程800. . . Processing flow

801...從基板表面移除污染物的步驟801. . . Steps to remove contaminants from the surface of the substrate

803...移除薄銅層以清理位於覆蓋介電層之阻障層上方之銅的步驟803. . . Removing the thin copper layer to clean the copper over the barrier layer overlying the dielectric layer

805...移除覆蓋介電層之阻障層的步驟805. . . Step of removing the barrier layer covering the dielectric layer

807...還原銅表面的步驟807. . . Steps to reduce the copper surface

809...沉積鈷合金並且進行沖洗/乾燥的步驟809. . . Step of depositing cobalt alloy and rinsing/drying

811...以後鈷合金沉積清理處理清潔基板表面的步驟811. . . Step of cleaning the surface of the substrate after the cobalt alloy deposition cleaning process

850...整合系統850. . . Integrated system

855...基板855. . . Substrate

860...實驗室環境運送模組860. . . Laboratory environment transport module

861...基板裝載器861. . . Substrate loader

865...承載室865. . . Carrying room

870...真空運送模組870. . . Vacuum transport module

871...O2 電漿處理反應器871. . . O 2 plasma treatment reactor

873...銅蝕刻室873. . . Copper etching chamber

873’...溼式銅蝕刻系統873’. . . Wet copper etching system

874...阻障層蝕刻室874. . . Barrier layer etching chamber

874’...溼式阻障蝕刻系統874’. . . Wet barrier etching system

875...承載室875. . . Carrying room

877...H2 電漿還原室877. . . H 2 plasma reduction chamber

880...控制環境運送模組880. . . Control environment transport module

881...無電沉積系統881. . . Electroless deposition system

883...清理系統883. . . Cleaning system

900...基板900. . . Substrate

1000...處理流程1000. . . Processing flow

1001...清潔接觸部之上表面的步驟1001. . . Steps to clean the upper surface of the contact

1003...沉積阻障層的步驟1003. . . Step of depositing a barrier layer

1005...將阻障氧化物還原成富含金屬層的步驟1005. . . Step of reducing barrier oxide to a metal rich layer

1007...沉積正形銅晶種層的步驟1007. . . Step of depositing a positive copper seed layer

1008...沉積溝填銅層的步驟1008. . . Step of depositing a copper layer in the trench

1009...清潔基板表面的步驟1009. . . Steps to clean the surface of the substrate

1050...整合系統1050. . . Integrated system

1055...基板1055. . . Substrate

1060...實驗室環境運送模組1060. . . Laboratory environment transport module

1061...基板裝載器1061. . . Substrate loader

1065...承載室1065. . . Carrying room

1070...真空運送模組1070. . . Vacuum transport module

1071...反應器1071. . . reactor

1073...ALD反應器1073. . . ALD reactor

1074...氫氣還原反應器1074. . . Hydrogen reduction reactor

1075...承載室1075. . . Carrying room

1080...控制環境運送模組1080. . . Control environment transport module

1081...無電銅沉積反應器1081. . . Electroless copper deposition reactor

1081’...ECP反應器1081’. . . ECP reactor

1083...基板清理反應器1083. . . Substrate cleaning reactor

1090...處理流程1090. . . Processing flow

1092...整合系統1092. . . Integrated system

1095...將阻障氧化物還原成富含金屬層的步驟1095. . . Step of reducing barrier oxide to a metal rich layer

1097...沉積正形銅晶種層的步驟1097. . . Step of depositing a positive copper seed layer

1098...沉積溝填銅層的步驟1098. . . Step of depositing a copper layer in the trench

1099...清潔基板表面的步驟1099. . . Steps to clean the surface of the substrate

1100...處理流程1100. . . Processing flow

1101...清潔接觸部之上表面的步驟1101. . . Steps to clean the upper surface of the contact

1103...沉積阻障層的步驟1103. . . Step of depositing a barrier layer

1105...將阻障氧化物還原成富含金屬層的步驟1105. . . Step of reducing barrier oxide to a metal rich layer

1107...沉積正形銅晶種層的步驟1107. . . Step of depositing a positive copper seed layer

1108...沉積溝填銅層的步驟1108. . . Step of depositing a copper layer in the trench

1109...移除Cu過覆層及阻障過覆層的步驟1109. . . Steps to remove the Cu overlayer and the barrier overlayer

1110...從基板表面移除銅錯合物及金屬氧化物的步驟1110. . . Step of removing copper complex and metal oxide from the surface of the substrate

1111...從基板表面移除有機污染物的步驟1111. . . Steps to remove organic contaminants from the surface of the substrate

1112...還原銅表面的步驟1112. . . Steps to reduce the copper surface

1113...沉積鈷合金並且進行沖洗與乾燥的步驟1113. . . Step of depositing a cobalt alloy and performing rinsing and drying

1115...以後鈷合金沉積清理處理清潔基板表面的步驟1115. . . Step of cleaning the surface of the substrate after the cobalt alloy deposition cleaning process

1150...整合系統1150. . . Integrated system

1155...基板1155. . . Substrate

1160...實驗室環境運送模組1160. . . Laboratory environment transport module

1161...基板裝載器1161. . . Substrate loader

1163...清理反應器1163. . . Clean up the reactor

1165...承載室1165. . . Carrying room

1170...真空運送模組1170. . . Vacuum transport module

1171...Ar濺鍍反應器1171. . . Ar sputtering reactor

1173...ALD反應器1173. . . ALD reactor

1174...氫氣還原反應器1174. . . Hydrogen reduction reactor

1175...承載室1175. . . Carrying room

1177...O2 電漿反應器1177. . . O 2 plasma reactor

1180...控制環境運送模組1180. . . Control environment transport module

1181...無電銅電鍍反應器1181. . . Electroless copper plating reactor

1181’...ECP反應器1181’. . . ECP reactor

1183...CMP系統1183. . . CMP system

1185...溼式清理系統1185. . . Wet cleaning system

1187...無電鈷合金沉積反應器1187. . . Electroless cobalt alloy deposition reactor

1200...基板1200. . . Substrate

1300...處理流程1300. . . Processing flow

1301...清潔金屬線之曝露表面的步驟1301. . . Steps to clean the exposed surface of the wire

1302...沉積阻障層I的步驟1302. . . Step of depositing barrier layer I

1303...沉積阻障層Ⅱ的步驟1303. . . Step of depositing barrier layer II

1305...將阻障氧化物還原成富含金屬層的步驟1305. . . Step of reducing barrier oxide to a metal rich layer

1307...沉積正形銅晶種層的步驟1307. . . Step of depositing a positive copper seed layer

1308...沉積溝填銅層的步驟1308. . . Step of depositing a copper layer in the trench

1309...移除Cu過覆層及阻障過覆層的步驟1309. . . Steps to remove the Cu overlayer and the barrier overlayer

1310...從基板表面移除Cu錯合物及金屬氧化物的步驟1310. . . Step of removing Cu complex and metal oxide from the surface of the substrate

1311...從基板表面移除有機污染物的步驟1311. . . Steps to remove organic contaminants from the surface of the substrate

1312...還原銅表面的步驟1312. . . Steps to reduce the copper surface

1313...沉積鈷合金並且進行沖洗與乾燥的步驟1313. . . Step of depositing a cobalt alloy and performing rinsing and drying

1315...以後鈷合金沉積清理處理清潔基板表面的步驟1315. . . Step of cleaning the surface of the substrate after the cobalt alloy deposition cleaning process

1350...整合系統1350. . . Integrated system

1355...基板1355. . . Substrate

1360...實驗室環境運送模組1360. . . Laboratory environment transport module

1361...基板裝載器1361. . . Substrate loader

1363...清理反應器1363. . . Clean up the reactor

1365...承載室1365. . . Carrying room

1370...真空運送模組1370. . . Vacuum transport module

1371...Ar濺鍍反應器1371. . . Ar sputtering reactor

1372...ALD反應器1372. . . ALD reactor

1373...PVD反應器1373. . . PVD reactor

1374...氫氣還原反應器1374. . . Hydrogen reduction reactor

1375...承載室1375. . . Carrying room

1377...O2 電漿系統1377. . . O 2 plasma system

1380...控制環境運送模組1380. . . Control environment transport module

1381...無電銅電鍍反應器1381. . . Electroless copper plating reactor

1381’...ECP反應器1381’. . . ECP reactor

1383...CMP系統1383. . . CMP system

1385...溼式清理系統1385. . . Wet cleaning system

1387...無電鈷合金沉積反應器1387. . . Electroless cobalt alloy deposition reactor

1500...處理流程1500. . . Processing flow

1501...移除金屬污染物的步驟1501. . . Steps to remove metal contaminants

1502...移除有機污染物的步驟1502. . . Steps to remove organic contaminants

1503...還原矽表面的步驟1503. . . Steps to restore the surface of the crucible

1505...選擇性地沉積金屬於矽之上的步驟1505. . . Steps of selectively depositing metal over the crucible

1507...在金屬沉積之後清潔基板表面的步驟1507. . . Step of cleaning the surface of the substrate after metal deposition

1509...形成金屬矽化層的步驟1509. . . Step of forming a metal deuteration layer

1550...整合系統1550. . . Integrated system

1555...基板1555. . . Substrate

1560...實驗室環境運送模組1560. . . Laboratory environment transport module

1561...基板載具1561. . . Substrate carrier

1563...溼式清理室1563. . . Wet cleaning room

1565...承載室1565. . . Carrying room

1570...真空運送模組1570. . . Vacuum transport module

1571...氧化電漿反應器1571. . . Oxidation plasma reactor

1573...還原反應器1573. . . Reduction reactor

1575...承載室1575. . . Carrying room

1576...熱反應器1576. . . Thermal reactor

1580...控制環境運送模組1580. . . Control environment transport module

1581...無電金屬沉積反應器1581. . . Electroless metal deposition reactor

1583...溼式清理室1583. . . Wet cleaning room

本發明將藉由下列結合隨附圖式並且以相同參考符號指定相同結構元件的詳細說明而更顯明瞭。The invention will be more apparent from the following detailed description of the embodiments of the invention.

圖1顯示示範的剖面互連;圖2A-2D顯示在各種不同互連處理階段之互連結構的橫剖面;圖3顯示在金屬CMP之後位於基板表面上之各種不同形式的污染物;圖4A顯示用以製備無電沉積鈷合金用之銅表面的示範處理流程;圖4B顯示透過圖4A之處理流程而用以處理基板的示範系統;圖5A-5C顯示在各種不同互連處理階段之互連結構的橫剖面;圖6A顯示用以製備無電沉積鈷合金用之銅表面的示範處理流程;圖6B顯示透過圖6A之處理流程而用以處理基板的示範系統;圖7A-7C顯示在各種不同互連處理階段之互連結構的橫剖面;圖8A顯示用以製備無電沉積鈷合金用之銅表面的示範處理流程;圖8B顯示透過圖8A之處理流程而用以處理基板的示範系統;圖9A-9E顯示在各種不同處理階段之金屬線結構的橫剖面;圖10A顯示用以製備無電沉積銅層用之阻障層表面的示範處理流程;圖10B顯示透過圖10A之處理流程而用以處理基板的示範系統;圖10C顯示用以製備無電沉積銅層用之阻障層表面的示範處理流程;圖10D顯示透過圖10C之處理流程而用以處理基板的示範系統;圖11A顯示用以製備無電沉積銅層用之阻障層表面以及用以製備無電沉積鈷合金用之銅表面的示範處理流程;圖11B顯示透過圖11A之處理流程而用以處理基板的示範系統;圖12A-12D顯示在各種不同處理階段之互連結構的橫剖面;圖13A顯示用以製備無電沉積銅層用之阻障層表面以及用以製備無電沉積鈷合金用之銅表面的示範處理流程;圖13B顯示透過圖13A之處理流程而用以處理基板的示範系統;圖14A-14D顯示在各種不同形成金屬矽化層階段之閘極結構的橫剖面;圖15A顯示用以製備曝露之矽表面以形成金屬矽化層的示範處理流程;圖15B顯示透過圖15A之處理流程而用以處理基板的示範系統;及圖16顯示具有周圍控制處理環境之整合系統的系統整合概略圖。Figure 1 shows an exemplary cross-sectional interconnect; Figures 2A-2D show cross-sections of interconnect structures at various different interconnect processing stages; Figure 3 shows various different forms of contaminants on the surface of the substrate after metal CMP; Figure 4A An exemplary process flow for preparing a copper surface for electroless deposition of a cobalt alloy is shown; Figure 4B shows an exemplary system for processing a substrate through the process flow of Figure 4A; Figures 5A-5C show interconnections at various different interconnect processing stages Cross-section of the structure; Figure 6A shows an exemplary process flow for preparing a copper surface for electroless deposition of cobalt alloy; Figure 6B shows an exemplary system for processing a substrate through the process flow of Figure 6A; Figures 7A-7C show various Cross-section of the interconnect structure of the interconnect processing stage; FIG. 8A shows an exemplary process flow for preparing a copper surface for electroless deposition of a cobalt alloy; FIG. 8B shows an exemplary system for processing a substrate through the process flow of FIG. 8A; 9A-9E shows the cross section of the metal line structure at various processing stages; FIG. 10A shows an exemplary process flow for preparing the surface of the barrier layer for the electroless deposition of copper layer; 0B shows an exemplary system for processing a substrate through the process flow of FIG. 10A; FIG. 10C shows an exemplary process flow for preparing a barrier layer surface for an electroless copper layer; FIG. 10D shows a process flow through FIG. 10C. An exemplary system for processing a substrate; FIG. 11A shows an exemplary process flow for preparing a barrier layer surface for an electroless deposition of a copper layer and a copper surface for preparing an electrolessly deposited cobalt alloy; FIG. 11B is shown for use in the process flow of FIG. An exemplary system for processing a substrate; Figures 12A-12D show cross-sections of interconnect structures at various processing stages; Figure 13A shows the surface of a barrier layer for preparing an electrolessly deposited copper layer and for preparing an electrolessly deposited cobalt alloy An exemplary process flow for the copper surface; FIG. 13B shows an exemplary system for processing the substrate through the process flow of FIG. 13A; FIGS. 14A-14D show a cross-sectional view of the gate structure at various stages of forming the metal germanide layer; FIG. 15A shows An exemplary process flow for preparing an exposed tantalum surface to form a metal deuterated layer; FIG. 15B shows an illustration for processing a substrate through the process flow of FIG. 15A System; and Figure 16 shows a schematic view around a system having integrated control of the processing environment of the integrated system.

850...整合系統850. . . Integrated system

855...基板855. . . Substrate

860...實驗室環境運送模組860. . . Laboratory environment transport module

861...基板裝載器861. . . Substrate loader

865...承載室865. . . Carrying room

870...真空運送模組870. . . Vacuum transport module

871...O2 電漿處理反應器871. . . O 2 plasma treatment reactor

873...銅蝕刻室873. . . Copper etching chamber

873’...溼式銅蝕刻系統873’. . . Wet copper etching system

874...阻障層蝕刻室874. . . Barrier layer etching chamber

874’...溼式阻障蝕刻系統874’. . . Wet barrier etching system

875...承載室875. . . Carrying room

877...H2 電漿還原室877. . . H 2 plasma reduction chamber

880...控制環境運送模組880. . . Control environment transport module

881...無電沉積系統881. . . Electroless deposition system

883...清理系統883. . . Cleaning system

Claims (37)

一種製備基板表面的方法,用以在一整合系統中選擇性地沉積鈷合金材料的薄層於該基板之銅互連線的銅表面上,以改善該銅互連線的電致遷移性能,該方法包含:從連接至該整合系統的一第一運送模組的溼式處理模組中之該基板表面的介電區域移除污染物及金屬氧化物;在移除污染物及金屬氧化物之後,在連接至該整合系統的一第二運送模組的電漿模組中,使用還原環境重建該銅互連線的銅表面;及在重建該基板表面之後,選擇性地沉積該鈷合金材料的薄層於該銅互連線的銅表面上,一個以上該整合系統的處理模組和該等運送模組係以惰性氣體填充,以在該整合系統之內該基板運送期間降低氧的曝露,該基板的運送包含該整合系統的該等運送模組之間的運送,且其中該鈷合金材料的薄層係沉積於該等處理模組其中一者,該其中一者係一溼式無電沉積處理模組,該溼式無電沉積處理模組係以惰性氣體填充,以維持在處理環境中之氧濃度較周遭環境為低,並且在由該基板表面移除污染物及金屬氧化物之後且在選擇性地沉積該鈷合金材料的薄層於該銅表面上之前在以惰性氣體填充之該等運送模組中之運送保護該銅表面不受氧化。 A method for preparing a surface of a substrate for selectively depositing a thin layer of a cobalt alloy material on a copper surface of a copper interconnect of the substrate in an integrated system to improve electromigration performance of the copper interconnect; The method includes: removing contaminants and metal oxides from a dielectric region of a surface of the substrate in a wet processing module coupled to a first transport module of the integrated system; removing contaminants and metal oxides Thereafter, in a plasma module connected to a second transport module of the integrated system, a copper surface of the copper interconnect is reconstructed using a reducing environment; and after the surface of the substrate is reconstructed, the cobalt alloy is selectively deposited a thin layer of material on the copper surface of the copper interconnect, more than one processing module of the integrated system and the transport modules are filled with an inert gas to reduce oxygen during transport of the substrate within the integrated system Exposure, the transport of the substrate comprises transport between the transport modules of the integrated system, and wherein a thin layer of the cobalt alloy material is deposited on one of the processing modules, one of which is a wet No electricity a processing module, the wet electroless deposition processing module is filled with an inert gas to maintain a lower oxygen concentration in the processing environment than in a surrounding environment, and after removing contaminants and metal oxides from the surface of the substrate and The copper surface is protected from oxidation by transport in a transport module filled with an inert gas prior to selectively depositing a thin layer of the cobalt alloy material on the copper surface. 如申請專利範圍第1項之製備基板表面的方法,其中該基板表面係藉由含氫電漿加以重建,該電漿係由氫氣(H2 )、氨氣(NH3 )、或兩氣體的組合所產生。The method for preparing a surface of a substrate according to claim 1, wherein the surface of the substrate is reconstructed by a hydrogen-containing plasma, which is composed of hydrogen (H 2 ), ammonia (NH 3 ), or two gases. The combination is produced. 如申請專利範圍第1項之製備基板表面的方法,其中重建該基板表面之步驟可使表面銅氧化物實質上轉變成銅,並且在重建該基板表面之後,該基板在控制環境中進行運送及處理,以使覆蓋在該銅表面上方之銅氧化物的形成降至最低。 The method for preparing a surface of a substrate according to claim 1, wherein the step of reconstructing the surface of the substrate substantially converts the surface copper oxide into copper, and after reconstructing the surface of the substrate, the substrate is transported in a controlled environment and The treatment is such that the formation of copper oxide overlying the copper surface is minimized. 如申請專利範圍第3項之製備基板表面的方法,其中在還原該銅表面之後,該基板係於氧的限制曝露下進行運送及處理,以使該鈷合金材料的薄層能夠選擇性地沉積在該銅表面上。 A method of preparing a substrate surface according to claim 3, wherein after the copper surface is reduced, the substrate is transported and treated under a limited exposure of oxygen to enable selective deposition of a thin layer of the cobalt alloy material. On the copper surface. 如申請專利範圍第1項之製備基板表面的方法,其中該鈷合金材料的薄層係藉由無電沉積處理而選擇性地沉積在該銅表面上,以促進該銅互連線之該銅表面與該銅互連線之介電覆蓋層之間的附著性。 A method of preparing a substrate surface according to claim 1, wherein the thin layer of the cobalt alloy material is selectively deposited on the copper surface by an electroless deposition treatment to promote the copper surface of the copper interconnect line. Adhesion to the dielectric cap layer of the copper interconnect. 如申請專利範圍第1項之製備基板表面的方法,其中該鈷合金材料係選自於由CoWP、CoWB、以及CoWBP所組成的群組。 A method of preparing a substrate surface according to claim 1, wherein the cobalt alloy material is selected from the group consisting of CoWP, CoWB, and CoWBP. 一種在控制環境中運送及處理基板的整合系統,該系統可使鈷合金材料的薄層選擇性地沉積在銅互連線的銅表面上,以改善該銅互連線的電致遷移性能,該系統包含:一實驗室環境運送室,可將該基板從耦合至該實驗室環境運送室的一基板載具(cassette)送進該整合系統;一基板清理反應器,耦合至該實驗室環境運送室,其中該基板清理反應器係清潔該基板表面以移除位於該基板表面上的金屬有機錯合污染物;一真空運送室,在壓力小於1 Torr的真空下操作;一真空處理模組,用以從該基板表面移除有機污染物,其中至少一真空處理模組耦合至該真空運送室且該真空處理模組係耦合至該真空運送室之該至少一真空處理模組的其中之一,並且在壓力小於1 Torr的真空下操作;一控制環境運送室,以選自於惰性氣體群組的一惰性氣體加以充填;一控制環境處理模組,耦合至該控制環境運送室;及 一無電鈷合金材料沉積處理模組,用以在該基板表面已移除金屬污染物及有機污染物、且該銅表面已移除銅氧化物之後,沉積該鈷合金的薄層於該銅互連線的該銅表面上,該無電鈷合金材料沉積處理模組為耦合至該控制環境運送室之該至少一控制環境處理模組的其中之一,且以選自於惰性氣體群組的一惰性氣體加以充填,並且具有其中處理流體經過除氣之流體輸送系統。 An integrated system for transporting and processing substrates in a controlled environment that selectively deposits a thin layer of cobalt alloy material on the copper surface of the copper interconnect to improve electromigration of the copper interconnect. The system includes: a laboratory environment transport chamber for feeding the substrate from a substrate carrier coupled to the laboratory environment transport chamber to the integrated system; a substrate cleaning reactor coupled to the laboratory environment a transport chamber, wherein the substrate cleaning reactor cleans the surface of the substrate to remove metal-organic mis-contaminants on the surface of the substrate; a vacuum transfer chamber is operated under a vacuum of less than 1 Torr; a vacuum processing module For removing organic contaminants from the surface of the substrate, wherein at least one vacuum processing module is coupled to the vacuum transfer chamber and the vacuum processing module is coupled to the at least one vacuum processing module of the vacuum transfer chamber First, and operating under a vacuum of less than 1 Torr; a control environment transport chamber, filled with an inert gas selected from the group of inert gases; a controlled environment treatment a module coupled to the control environment transport room; and An electroless cobalt alloy material deposition processing module for depositing a thin layer of the cobalt alloy on the copper after the metal contaminants and organic contaminants have been removed on the surface of the substrate, and the copper surface has been removed from the copper oxide The electroless cobalt alloy material deposition processing module is one of the at least one control environment processing module coupled to the control environment transport chamber on the copper surface of the connection, and is selected from the group consisting of inert gas groups The inert gas is filled and has a fluid delivery system in which the treatment fluid is degassed. 如申請專利範圍第7項之在控制環境中運送及處理基板的整合系統,更包含:一含氫還原處理模組,用以將位於該銅表面上的殘留銅氧化物還原成銅,其中該含氫還原處理模組係耦合至該真空運送室,且該含氫還原處理模組係在壓力小於1 Torr的真空下操作。 An integrated system for transporting and processing a substrate in a controlled environment, as in claim 7, further comprising: a hydrogen-containing reduction processing module for reducing residual copper oxide on the copper surface to copper, wherein A hydrogen reduction treatment module is coupled to the vacuum transfer chamber, and the hydrogen reduction treatment module operates at a vacuum of less than 1 Torr. 如申請專利範圍第7項之在控制環境中運送及處理基板的整合系統,更包含:一基板清理反應器,耦合至該實驗室環境運送室,其中該基板清理反應器係使用一溼式清理液清潔該基板表面,以移除位於該基板表面上的金屬氧化物,其中該清理液包含檸檬酸、硫酸、或具有過氧化氫的硫酸其中之一。 An integrated system for transporting and processing substrates in a controlled environment, as in claim 7, further comprising: a substrate cleaning reactor coupled to the laboratory environmental transport chamber, wherein the substrate cleaning reactor is wet cleaned The liquid cleans the surface of the substrate to remove metal oxide on the surface of the substrate, wherein the cleaning liquid comprises one of citric acid, sulfuric acid, or sulfuric acid having hydrogen peroxide. 如申請專利範圍第7項之在控制環境中運送及處理基板的整合系統,更包含:一第一承載室(loadlock),耦合至該真空運送室與該控制環境運送室,其中該第一承載室係協助該基板在該真空運送室與該控制環境運送室之間的運送,該第一承載室設置成在壓力小於1 Torr的真空下操作,或以選自於惰性氣體群組的一惰性氣體加以充填,以在與該控制環境運送模組相同的壓力下操作;及一第二承載室,耦合至該真空運送室與該實驗室環境運送室,其中該第二承載室係協助該基板在該真空運送室與該實驗室 環境運送室之間的運送,該第二承載室設置成在壓力小於1 Torr的真空下或在實驗室環境下操作。 An integrated system for transporting and processing a substrate in a controlled environment, as in claim 7, further comprising: a first load lock coupled to the vacuum transfer chamber and the control environment transport chamber, wherein the first load A chamber assists transport of the substrate between the vacuum transfer chamber and the controlled environment transport chamber, the first load chamber being configured to operate at a vacuum of less than 1 Torr, or an inert selected from the group of inert gases The gas is filled to operate at the same pressure as the controlled environment transport module; and a second load chamber coupled to the vacuum transport chamber and the laboratory environmental transport chamber, wherein the second load chamber assists the substrate In the vacuum transfer chamber and the laboratory The transport between the environmental transport chambers is arranged to operate under a vacuum of less than 1 Torr or in a laboratory environment. 如申請專利範圍第7項之在控制環境中運送及處理基板的整合系統,其中該真空運送室與耦合至該真空運送室的該至少一真空處理模組係在小於1 Torr的壓力下操作,以限制該基板對氧的曝露情形。 An integrated system for transporting and processing a substrate in a controlled environment as in claim 7, wherein the vacuum transfer chamber and the at least one vacuum processing module coupled to the vacuum transfer chamber operate at a pressure of less than 1 Torr, To limit the exposure of the substrate to oxygen. 如申請專利範圍第7項之在控制環境中運送及處理基板的整合系統,其中以選自於惰性氣體群組之一種以上的惰性氣體填充該控制環境運送室與耦合至該控制環境運送室的該至少一控制環境處理模組,以限制該基板對氧的曝露情形。 An integrated system for transporting and processing substrates in a controlled environment, according to claim 7, wherein the control environment transport chamber and the control environment transport chamber are filled with one or more inert gases selected from the group consisting of inert gases. The at least one control environment processing module limits the exposure of the substrate to oxygen. 如申請專利範圍第7項之在控制環境中運送及處理基板的整合系統,其中在該整合系統中運送及處理該基板,以限制該基板曝露於氧的持續時間。 An integrated system for transporting and processing substrates in a controlled environment, as in claim 7, wherein the substrate is transported and processed in the integrated system to limit the duration of exposure of the substrate to oxygen. 如申請專利範圍第13項之在控制環境中運送及處理基板的整合系統,其中限制該基板表面對氧的曝露可減少該沉積反應的誘導時間,並且增強選擇性地沉積在該銅表面上之該鈷合金材料的薄層。 An integrated system for transporting and processing a substrate in a controlled environment according to claim 13 wherein limiting the exposure of the substrate to oxygen reduces the induction time of the deposition reaction and enhances selective deposition on the copper surface. A thin layer of the cobalt alloy material. 如申請專利範圍第7項之在控制環境中運送及處理基板的整合系統,其中耦合至該控制環境運送室的該至少一處理模組能夠進行該基板之乾進/乾出處理,其中該基板在乾燥狀態下進入且在乾燥狀態下出來。 An integrated system for transporting and processing a substrate in a controlled environment according to claim 7, wherein the at least one processing module coupled to the control environment transport chamber is capable of performing dry/out process of the substrate, wherein the substrate It enters in a dry state and comes out in a dry state. 一種製備基板表面的方法,用以在一整合系統中沉積一金屬阻障層而排列該基板之銅互連線結構,並且沉積一薄銅晶種層於該 金屬阻障層的表面上,以改善該銅互連線的電致遷移性能,該方法包含:清理一下層金屬的曝露表面,以移除在該整合系統的一第一模組中之表面金屬氧化物,其中該下層金屬係電性連接至該銅互連線結構之下層互連的部份;在該整合系統中,還原該金屬阻障層的表面,以使位於該金屬阻障層之表面上的金屬阻障氧化物產生轉變,而讓該金屬阻障層的該表面富含金屬,其中在清理該下層金屬的該曝露表面之後,進行還原該金屬阻障層的該表面;在該整合系統的一第二模組中,沉積該金屬阻障層以排列該銅互連線結構;在該整合系統的一第三模組中,沉積該薄銅晶種層;及在該整合系統的一第四模組中,沉積一溝填銅層以覆蓋在該薄銅晶種層的上方,其中順著該第一、第二、第三、及第四模組之運送係進行於以惰性氣體填充之環境中,且其中在沉積該溝填銅層之前進行於該以惰性氣體填充之環境中的運送降低在該銅互連線結構、該金屬阻障層、及該薄銅晶種層之上金屬氧化物的形成,且其中該第四模組係用於沉積該溝填銅層的銅鍍處理系統,且該銅鍍處理系統係填充以惰性氣體以確保在處理環境中低的氧濃度,且其中用以處理該基板的該銅鍍處理系統利用該基板的乾進/乾出處理以使該基板在乾燥狀態下進出該銅鍍處理系統。 A method for preparing a surface of a substrate for depositing a metal barrier layer in an integrated system to align a copper interconnect structure of the substrate, and depositing a thin copper seed layer thereon a surface of the metal barrier layer to improve electromigration performance of the copper interconnect, the method comprising: cleaning an exposed surface of the underlying metal to remove surface metal in a first module of the integrated system An oxide, wherein the underlying metal is electrically connected to a portion of the underlying interconnect of the copper interconnect structure; in the integrated system, the surface of the metal barrier layer is reduced such that the metal barrier layer is located The metal barrier oxide on the surface produces a transition, and the surface of the metal barrier layer is rich in metal, wherein after cleaning the exposed surface of the underlying metal, the surface of the metal barrier layer is reduced; Depositing the metal barrier layer to align the copper interconnect structure in a second module of the integrated system; depositing the thin copper seed layer in a third module of the integrated system; and in the integrated system a fourth module, wherein a trench copper layer is deposited over the thin copper seed layer, wherein the first, second, third, and fourth module transport lines are performed Inert gas filled environment, and where it is deposited Carrying in the environment filled with the inert gas before the trench filling layer reduces the formation of metal oxide on the copper interconnect structure, the metal barrier layer, and the thin copper seed layer, and wherein The fourth module is a copper plating treatment system for depositing the trench copper layer, and the copper plating processing system is filled with an inert gas to ensure a low oxygen concentration in the processing environment, and wherein the substrate is used for processing the substrate The copper plating process utilizes a dry/dry process of the substrate to allow the substrate to enter and exit the copper plating process in a dry state. 如申請專利範圍第16項之製備基板表面的方法,其中該銅互連線結構包含覆蓋在一通孔上方的一金屬線,且該下層互連線包含一金屬線。 A method of preparing a substrate surface according to claim 16, wherein the copper interconnect structure comprises a metal line overlying a via, and the lower interconnect comprises a metal line. 如申請專利範圍第16項之製備基板表面的方法,其中清理該曝露表面以移除表面金屬氧化物的步驟係藉由使用Ar濺鍍處理或使用含氟氣體之電漿處理而達成。 A method of preparing a surface of a substrate according to claim 16, wherein the step of removing the exposed surface to remove the surface metal oxide is achieved by using an Ar sputtering process or a plasma treatment using a fluorine-containing gas. 如申請專利範圍第16項之製備基板表面的方法,其中沉積該金屬阻障層之步驟更包含:沉積一第一金屬阻障層;及沉積一第二金屬阻障層。 The method for preparing a surface of a substrate according to claim 16, wherein the step of depositing the metal barrier layer further comprises: depositing a first metal barrier layer; and depositing a second metal barrier layer. 如申請專利範圍第16項之製備基板表面的方法,其中該基板在控制環境中進行運送及處理,以防止金屬阻障氧化物的形成,並且可選擇性地沉積該銅晶種層的薄層,以改善該銅互連線的電致遷移性能。 A method of preparing a substrate surface according to claim 16, wherein the substrate is transported and processed in a controlled environment to prevent formation of a metal barrier oxide, and a thin layer of the copper seed layer is selectively deposited. To improve the electromigration performance of the copper interconnect. 一種製備基板之金屬阻障表面的方法,用以在一整合系統中沉積一薄銅晶種層於一銅互連線結構之金屬阻障層的表面上,以改善該銅互連線結構的電致遷移性能,該方法包含:在該整合系統的一第一模組中,還原該金屬阻障層的表面,以使位於該金屬阻障層之該表面上的金屬阻障氧化物產生轉變,而讓該金屬阻障層的該表面富含金屬;在該整合系統的一第二模組中沉積該薄銅晶種層;及在該整合系統的一第三模組中沉積一溝填銅層以覆蓋在該薄銅晶種層的上方,其中沿著該第一、第二、及第三模組之運送係進行於以惰性氣體填充之環境中,且其中在沉積該溝填銅層之前進行於該以惰性氣體填充之環境中的運送降低在該銅互連線結構、該金屬阻障層、及該薄銅晶種層之上金屬氧化物的形成,且其中該第三模組係用於沉積該溝填銅層的一銅鍍處理系統,且該銅鍍處理系統係填充以惰性氣體以確保在處理環境中低的氧濃度,且其中用以處理該基板的該銅鍍處理系統利用該基板的乾進/乾出處理以使該基板在乾燥狀態下進出該銅鍍處理系統。 A method for preparing a metal barrier surface of a substrate for depositing a thin copper seed layer on a surface of a metal barrier layer of a copper interconnect structure in an integrated system to improve the copper interconnect structure Electromigration performance, the method comprising: reducing a surface of the metal barrier layer in a first module of the integrated system to cause a transition of a metal barrier oxide on the surface of the metal barrier layer And depositing the surface of the metal barrier layer with a metal; depositing the thin copper seed layer in a second module of the integrated system; and depositing a trench in a third module of the integrated system a copper layer overlying the thin copper seed layer, wherein the transport along the first, second, and third modules is performed in an atmosphere filled with an inert gas, and wherein the trench is deposited The transport of the layer prior to the inert gas filling environment reduces the formation of metal oxide over the copper interconnect structure, the metal barrier layer, and the thin copper seed layer, and wherein the third mode a copper plating treatment system for depositing the trench copper layer And the copper plating treatment system is filled with an inert gas to ensure a low oxygen concentration in the processing environment, and wherein the copper plating processing system for processing the substrate utilizes dry/dry processing of the substrate to make the substrate The copper plating treatment system is fed in and out in a dry state. 一種在控制環境中處理基板的整合系統,其可使一薄銅晶種層 沉積在一銅互連線之金屬阻障層的表面上,該系統包含:一實驗室環境運送室,可將該基板從耦合至該實驗室環境運送室的一基板載具送進該整合系統;一真空運送室,在壓力小於1 Torr的真空下操作;一真空處理模組,用以在該整合系統中清理一下層金屬之曝露表面的金屬氧化物,其中該下層金屬為一下層互連線的部份,該銅互連線與該下層互連線電性連接,其中至少一真空處理模組耦合至真空運送室且用以清理的該真空處理模組係耦合至該真空運送室之該至少一真空處理模組的其中之一,並且在壓力小於1 Torr的真空下操作;一真空處理模組,用以沉積該金屬阻障層,其中用以沉積該金屬阻障層的該真空處理模組係耦合至該真空運送室之該至少一真空處理模組的其中之一,並且在小於1 Torr的真空壓力下操作;一控制環境運送室,以選自惰性氣體群組的一惰性氣體對其進行填充;一控制環境處理模組,耦合至該控制環境運送室;及一無電銅沉積處理模組,用以沉積該銅晶種層的薄層於該金屬阻障層的表面上,其中該無電銅沉積處理模組為耦合至該控制環境運送室之該至少一控制環境處理模組的其中之一。 An integrated system for processing substrates in a controlled environment that enables a thin copper seed layer Deposited on the surface of a metal barrier layer of a copper interconnect, the system comprising: a laboratory environment transport chamber for feeding the substrate from the substrate carrier coupled to the laboratory environment transport chamber into the integrated system a vacuum transfer chamber operating at a vacuum of less than 1 Torr; a vacuum processing module for cleaning the metal oxide of the exposed surface of the underlying metal in the integrated system, wherein the underlying metal is a lower layer interconnect a portion of the wire, the copper interconnect is electrically connected to the lower interconnect, wherein at least one vacuum processing module is coupled to the vacuum transfer chamber and the vacuum processing module for cleaning is coupled to the vacuum transfer chamber One of the at least one vacuum processing module and operating under a vacuum of less than 1 Torr; a vacuum processing module for depositing the metal barrier layer, wherein the vacuum is used to deposit the metal barrier layer The processing module is coupled to one of the at least one vacuum processing module of the vacuum transfer chamber and operates at a vacuum pressure of less than 1 Torr; a control environment transport chamber selected from the group consisting of inert gases An inert gas is filled therein; a control environment processing module coupled to the control environment transport chamber; and an electroless copper deposition processing module for depositing a thin layer of the copper seed layer on the metal barrier layer The surface of the electroless copper deposition processing module is one of the at least one control environment processing module coupled to the control environment transport chamber. 如申請專利範圍第22項之在控制環境中處理基板的整合系統,更包含:一含氫還原處理模組,用以還原位於該金屬阻障層之該表面上的金屬氧化物或金屬氮化物,其中該含氫還原處理模組係耦合至該真空運送室,該含氫還原處理模組係在壓力小於1 Torr的真空下操作。 An integrated system for processing a substrate in a controlled environment, as in claim 22, further comprising: a hydrogen reduction processing module for reducing metal oxide or metal nitride on the surface of the metal barrier layer The hydrogen reduction treatment module is coupled to the vacuum transfer chamber, and the hydrogen reduction treatment module is operated under a vacuum of less than 1 Torr. 如申請專利範圍第22項之在控制環境中處理基板的整合系統,更包含: 一第一承載室,耦合至該真空運送室與該控制環境運送室,其中該第一承載室係協助該基板在該真空運送室與該控制環境運送室之間的運送,該第一承載室設置成在壓力小於1 Torr的真空下操作,或以選自於惰性氣體群組的一惰性氣體加以充填;及一第二承載室,耦合至該真空運送室與該實驗室環境運送室,其中該第二承載室係協助該基板在該真空運送室與該實驗室環境運送室之間的運送,該第二承載室設置成在壓力小於1 Torr的真空下或在實驗室環境下操作,或以選自於惰性氣體群組之一惰性氣體加以充填。 The integrated system for processing substrates in a controlled environment, as in claim 22, further includes: a first load-bearing chamber coupled to the vacuum transfer chamber and the control environment transport chamber, wherein the first load-bearing chamber assists in transporting the substrate between the vacuum transport chamber and the control environment transport chamber, the first load chamber Arranged to operate under a vacuum of less than 1 Torr, or to be filled with an inert gas selected from the group of inert gases; and a second carrier chamber coupled to the vacuum transfer chamber and the laboratory environment transport chamber, wherein The second carrier chamber assists in transporting the substrate between the vacuum transfer chamber and the laboratory environment transport chamber, the second load chamber being configured to operate under a vacuum of less than 1 Torr or in a laboratory environment, or The filling is carried out with an inert gas selected from one of the inert gas groups. 如申請專利範圍第22項之在控制環境中處理基板的整合系統,其中該真空運送室與耦合至該真空運送室的該至少一真空處理模組係在小於1 Torr的壓力下操作,以控制該基板對氧的曝露情形。 An integrated system for processing a substrate in a controlled environment as in claim 22, wherein the vacuum transfer chamber and the at least one vacuum processing module coupled to the vacuum transfer chamber are operated at a pressure of less than 1 Torr to control The substrate is exposed to oxygen. 如申請專利範圍第22項之在控制環境中處理基板的整合系統,其中以選自於惰性氣體群組之一種以上的惰性氣體充填該控制環境運送室與耦合至該控制環境運送室之該至少一處理模組中的每一者,以控制該基板對氧的曝露情形。 An integrated system for processing a substrate in a controlled environment as in claim 22, wherein the control environment transport chamber and the at least one of the inert gas groups selected from the group of inert gases are filled with the control environment transport chamber Each of the processing modules controls the exposure of the substrate to oxygen. 如申請專利範圍第22項之在控制環境中處理基板的整合系統,其中耦合至該控制環境運送室的該至少一處理模組能夠進行該基板之乾進/乾出處理,其中該基板係在乾燥狀態下進出該至少一處理模組。 An integrated system for processing a substrate in a control environment as claimed in claim 22, wherein the at least one processing module coupled to the control environment transport chamber is capable of performing dry/dry processing of the substrate, wherein the substrate is The at least one processing module is accessed in a dry state. 一種在控制環境中處理基板的整合系統,其可使一薄銅晶種層沉積於一銅互連線之金屬阻障層的表面上,該系統包含:一實驗室環境運送室,可將該基板從耦合至該實驗室環境運送室的一基板載具送進該整合系統; 一真空運送室,在壓力小於1 Torr的真空下操作;一真空處理模組,用以還原該金屬阻障層,其中至少一真空處理模組耦合至該真空運送室,且用以還原該金屬阻障層的該真空處理模組係耦合至該真空運送室之該至少一真空處理模組的其中之一,並且在壓力小於1 Torr的真空下操作;一控制環境運送室,以選自於惰性氣體群組的一惰性氣體加以充填,其中至少一控制環境處理模組耦合至該控制環境運送室;及一無電銅沉積處理模組,用以沉積該銅晶種層的薄層於該金屬阻障層的表面上,其中該無電銅沉積處理模組為耦合至該控制環境運送室之該至少一控制環境處理模組的其中之一。 An integrated system for processing a substrate in a controlled environment, wherein a thin copper seed layer is deposited on a surface of a metal barrier layer of a copper interconnect, the system comprising: a laboratory environment transport chamber The substrate is fed into the integrated system from a substrate carrier coupled to the laboratory environment transport chamber; a vacuum transfer chamber operating at a vacuum of less than 1 Torr; a vacuum processing module for reducing the metal barrier layer, wherein at least one vacuum processing module is coupled to the vacuum transfer chamber and used to reduce the metal The vacuum processing module of the barrier layer is coupled to one of the at least one vacuum processing module of the vacuum transfer chamber and operates under a vacuum of less than 1 Torr; a control environment transport chamber is selected from the group consisting of An inert gas group of the inert gas group is filled, wherein at least one control environment processing module is coupled to the control environment transport chamber; and an electroless copper deposition processing module is configured to deposit a thin layer of the copper seed layer on the metal The surface of the barrier layer, wherein the electroless copper deposition processing module is one of the at least one control environment processing module coupled to the control environment transport chamber. 一種製備基板表面的方法,用以在用於執行乾式和溼式處理二者的一整合系統中選擇性地沉積一金屬層於該基板之矽或多晶矽表面上,以形成金屬矽化層,且將該基板維持於該整合系統之中時進行操作,該等操作包含:在用於執行乾式和溼式處理二者之該整合系統中,從該基板表面移除有機污染物;在移除有機污染物之後,於該整合系統中還原該矽或多晶矽表面,以將位於該矽或多晶矽表面上的矽氧化物轉變成矽,且其中還原該矽或多晶矽表面以增加位於該矽表面上之金屬的選擇性,且其中在連接至一真空運送模組之一含氫電漿系統中還原該矽或多晶矽表面;在完成在該含氫電漿系統中的該還原操作後,立即將該基板搬進操作於真空下的該真空運送模組;將該基板由該真空運送模組搬進在真空下的一承載室,且對該承載室供給惰性氣體,該承載室係用於離開該真空運送模組而進入一控制環境運送模組的運送;將該基板自該承載室搬到該控制環境運送模組,該控制環境 運送模組係被供給以該惰性氣體;在具有該惰性氣體的該控制環境運送模組之中將該基板運送進入一無電沉積模組,該無電沉積模組係連結至該控制環境運送模組;及在該無電沉積模組中藉由無電沉積選擇性地沉積該金屬層於該基板之該矽或多晶矽表面上,在該控制環境運送模組中的該惰性氣體限制在該還原操作之後該矽或多晶矽表面的氧化。 A method of preparing a substrate surface for selectively depositing a metal layer on a tantalum or polysilicon surface of the substrate in an integrated system for performing both dry and wet processing to form a metal germanium layer, and The substrate is operated while being maintained in the integrated system, the operations comprising: removing organic contaminants from the surface of the substrate in the integrated system for performing both dry and wet processing; removing organic contamination Thereafter, the surface of the tantalum or polysilicon is reduced in the integrated system to convert the tantalum oxide on the surface of the tantalum or polysilicon into a tantalum, and wherein the surface of the tantalum or polysilicon is reduced to increase the metal on the surface of the tantalum Selectively, and wherein the surface of the tantalum or polysilicon is reduced in a hydrogen-containing plasma system connected to a vacuum transport module; the substrate is immediately moved into the reduction operation after completion of the reduction operation in the hydrogen-containing plasma system Operating the vacuum transport module under vacuum; moving the substrate from the vacuum transport module into a load chamber under vacuum, and supplying inert gas to the load chamber, the load chamber For conveying away from the vacuum control module into a conveying environment of the transport module; the carrier substrate from the chamber to move the transport module control environment, the environment control The transport module is supplied with the inert gas; the substrate is transported into an electroless deposition module in the control environment transport module having the inert gas, and the electroless deposition module is coupled to the control environment transport module And selectively depositing the metal layer on the surface of the germanium or polysilicon of the substrate by electroless deposition in the electroless deposition module, the inert gas in the control environment transport module being limited after the reducing operation Oxidation of the surface of germanium or polycrystalline germanium. 如申請專利範圍第29項之製備基板表面的方法,更包含:在該整合系統中選擇性地沉積該金屬層於該矽表面上之後,形成該金屬矽化層,以使該金屬矽化層係在一快速熱處理(RTP,rapid thermal processing)系統中形成。 The method for preparing a surface of a substrate according to claim 29, further comprising: after selectively depositing the metal layer on the surface of the crucible in the integrated system, forming the metal deuterated layer to bond the metal deuterated layer Formed in a rapid thermal processing (RTP) system. 如申請專利範圍第29項之製備基板表面的方法,更包含:在該整合系統中,於還原該矽表面之前,從該基板表面移除金屬污染物。 The method of preparing a substrate surface according to claim 29, further comprising: removing metal contaminants from the surface of the substrate before the surface of the crucible is reduced in the integrated system. 如申請專利範圍第29項之製備基板表面的方法,其中該含氫電漿系統的含氫電漿係由氫氣(H2 )、氨氣(NH3 )、或兩氣體的組合所產生。A method of preparing a substrate surface according to claim 29, wherein the hydrogen-containing plasma of the hydrogen-containing plasma system is produced by hydrogen (H 2 ), ammonia (NH 3 ), or a combination of two gases. 如申請專利範圍第29項之製備基板表面的方法,其中該金屬係選自於由Ni或Co所組成的群組。 A method of preparing a substrate surface according to claim 29, wherein the metal is selected from the group consisting of Ni or Co. 如申請專利範圍第29項之製備基板表面的方法,其中在該矽表面已經由在真空環境或充填有惰性氣體之環境中加以運送或處理而還原之後,使該基板在該整合系統的控制環境中進行運送及處理,以控制該基板表面對氧的曝露情形。 The method for preparing a surface of a substrate according to claim 29, wherein the substrate is in a controlled environment of the integrated system after the surface of the crucible has been reduced by being transported or treated in a vacuum environment or an environment filled with an inert gas. The transportation and processing are carried out to control the exposure of the substrate surface to oxygen. 一種在控制環境中處理基板的整合系統,其可使一金屬層選擇性地沉積在該基板的矽表面上,以形成一金屬矽化層,該系統包含:一實驗室環境運送室,可將該基板從耦合至該實驗室環境運送室的一基板載具送進該整合系統;一真空運送室,在壓力小於1 Torr的真空下操作;一真空處理模組,用以從該基板表面移除有機污染物,其中至少一真空處理模組耦合至該真空運送室且用以移除有機污染物的該真空處理模組係耦合至該真空運送室之該至少一真空處理模組的其中之一,並且在小於1 Torr的真空壓力下操作;一真空處理室,用以還原該矽表面,其中用以還原該矽表面的該真空處理室係耦合至該真空運送室之該至少一真空處理模組的其中之一,並且在小於1 Torr的真空壓力下操作;一控制環境運送室,以選自惰性氣體群組的一惰性氣體對其進行填充,並且至少一控制環境處理模組耦合至該控制環境運送室;及一無電金屬沉積處理模組,在已還原該矽表面之後用以選擇性地沉積該金屬的薄層於該矽表面上,該無電金屬沉積處理模組為耦合至該控制環境運送室之該至少一控制環境處理模組的其中之一。 An integrated system for processing a substrate in a controlled environment, wherein a metal layer is selectively deposited on the surface of the substrate to form a metal germanium layer, the system comprising: a laboratory environment transport chamber, which can The substrate is fed into the integrated system from a substrate carrier coupled to the laboratory environment transport chamber; a vacuum transport chamber operating at a vacuum of less than 1 Torr; and a vacuum processing module for removing from the substrate surface An organic contaminant, wherein the vacuum processing module is coupled to the vacuum transfer chamber and the vacuum processing module for removing organic contaminants is coupled to one of the at least one vacuum processing module of the vacuum transfer chamber And operating at a vacuum pressure of less than 1 Torr; a vacuum processing chamber for reducing the surface of the crucible, wherein the vacuum processing chamber for reducing the surface of the crucible is coupled to the at least one vacuum processing module of the vacuum transfer chamber One of the group, and operating at a vacuum pressure of less than 1 Torr; a control environment transport chamber, filled with an inert gas selected from the group of inert gases, and At least one control environment processing module coupled to the control environment transport chamber; and an electroless metal deposition processing module for selectively depositing a thin layer of the metal on the surface of the crucible after the surface of the crucible has been restored, the electroless The metal deposition processing module is one of the at least one control environment processing module coupled to the control environment transport chamber. 如申請專利範圍第35項之在控制環境中處理基板的整合系統,其中用以形成該金屬矽化層的該真空處理室為一RTP室。 An integrated system for processing a substrate in a controlled environment as in claim 35, wherein the vacuum processing chamber for forming the metal deuteration layer is an RTP chamber. 如申請專利範圍第35項之在控制環境中處理基板的整合系統,其中以選自惰性氣體群組之一種以上的惰性氣體填充該控制環境運送室與耦合至該控制環境運送室的該至少一處理模組,以控制該基板對氧的曝露。 An integrated system for processing a substrate in a controlled environment as in claim 35, wherein the control environment transport chamber and the at least one coupled to the control environment transport chamber are filled with one or more inert gases selected from the group consisting of inert gases The module is processed to control the exposure of the substrate to oxygen.
TW96131990A 2006-08-30 2007-08-29 Processes and integrated systems for engineering a substrate surface for metal deposition TWI393186B (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/513,446 US8747960B2 (en) 2005-08-31 2006-08-30 Processes and systems for engineering a silicon-type surface for selective metal deposition to form a metal silicide
US11/513,634 US8771804B2 (en) 2005-08-31 2006-08-30 Processes and systems for engineering a copper surface for selective metal deposition
US11/514,038 US8241701B2 (en) 2005-08-31 2006-08-30 Processes and systems for engineering a barrier surface for copper deposition

Publications (2)

Publication Number Publication Date
TW200832556A TW200832556A (en) 2008-08-01
TWI393186B true TWI393186B (en) 2013-04-11

Family

ID=41202298

Family Applications (1)

Application Number Title Priority Date Filing Date
TW96131990A TWI393186B (en) 2006-08-30 2007-08-29 Processes and integrated systems for engineering a substrate surface for metal deposition

Country Status (5)

Country Link
JP (2) JP5489717B2 (en)
CN (2) CN103107120B (en)
MY (2) MY148605A (en)
SG (1) SG174752A1 (en)
TW (1) TWI393186B (en)

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090269507A1 (en) 2008-04-29 2009-10-29 Sang-Ho Yu Selective cobalt deposition on copper surfaces
US8227344B2 (en) * 2010-02-26 2012-07-24 Tokyo Electron Limited Hybrid in-situ dry cleaning of oxidized surface layers
JP5560144B2 (en) * 2010-08-31 2014-07-23 東京エレクトロン株式会社 Manufacturing method of semiconductor device
KR20130092570A (en) * 2010-08-31 2013-08-20 도쿄엘렉트론가부시키가이샤 Method for manufacturing semiconductor device
JP2012054306A (en) * 2010-08-31 2012-03-15 Tokyo Electron Ltd Manufacturing method of semiconductor device
CN102468265A (en) * 2010-11-01 2012-05-23 中芯国际集成电路制造(上海)有限公司 Connection plug and manufacturing method thereof
US8603913B1 (en) * 2012-12-20 2013-12-10 Lam Research Corporation Porous dielectrics K value restoration by thermal treatment and or solvent treatment
US9040385B2 (en) * 2013-07-24 2015-05-26 Taiwan Semiconductor Manufacturing Co., Ltd. Mechanisms for cleaning substrate surface for hybrid bonding
CN105682856A (en) * 2013-10-22 2016-06-15 东曹Smd有限公司 Optimized textured surfaces and methods of optimizing
JP2017520109A (en) * 2014-06-16 2017-07-20 インテル・コーポレーション Selective diffusion barrier between metals in integrated circuit devices
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US9768060B2 (en) * 2014-10-29 2017-09-19 Applied Materials, Inc. Systems and methods for electrochemical deposition on a workpiece including removing contamination from seed layer surface prior to ECD
WO2018063815A1 (en) * 2016-10-02 2018-04-05 Applied Materials, Inc. Doped selective metal caps to improve copper electromigration with ruthenium liner
JP6842159B2 (en) * 2016-12-13 2021-03-17 サムコ株式会社 Plasma processing method
US10438846B2 (en) 2017-11-28 2019-10-08 Taiwan Semiconductor Manufacturing Co., Ltd. Physical vapor deposition process for semiconductor interconnection structures
JP2019192892A (en) 2018-04-18 2019-10-31 東京エレクトロン株式会社 Processing system and processing method
KR102301933B1 (en) * 2018-12-26 2021-09-15 한양대학교 에리카산학협력단 Fabricating method of Semiconductor device
US20220344205A1 (en) * 2019-09-25 2022-10-27 Tokyo Electron Limited Substrate liquid processing method and substate liquid processing apparatus

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020001929A1 (en) * 2000-04-25 2002-01-03 Biberger Maximilian A. Method of depositing metal film and metal deposition cluster tool including supercritical drying/cleaning module
US6365516B1 (en) * 2000-01-14 2002-04-02 Advanced Micro Devices, Inc. Advanced cobalt silicidation with in-situ hydrogen plasma clean
US6475893B2 (en) * 2001-03-30 2002-11-05 International Business Machines Corporation Method for improved fabrication of salicide structures
US6638564B2 (en) * 2000-04-10 2003-10-28 Sony Corporation Method of electroless plating and electroless plating apparatus
TW200403768A (en) * 2002-05-03 2004-03-01 Intel Corp Use of conductive electrolessly deposited etch stop layers, liner layers and via plugs in interconnect structures
US20050106865A1 (en) * 2001-09-26 2005-05-19 Applied Materials, Inc. Integration of ALD tantalum nitride for copper metallization
TW200526815A (en) * 2003-11-05 2005-08-16 Freescale Semiconductor Inc Compositions and methods for the electroless deposition of NiFe on a work piece

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6017820A (en) * 1998-07-17 2000-01-25 Cutek Research, Inc. Integrated vacuum and plating cluster system
US6144099A (en) * 1999-03-30 2000-11-07 Advanced Micro Devices, Inc. Semiconductor metalization barrier
JP2001326192A (en) * 2000-05-16 2001-11-22 Applied Materials Inc Film-forming method and film-forming device
JP2003034876A (en) * 2001-05-11 2003-02-07 Ebara Corp Catalytic treatment liquid and method for electroless plating
JP2003142579A (en) * 2001-11-07 2003-05-16 Hitachi Ltd Semiconductor device and method for manufacturing the same
US6875693B1 (en) * 2003-03-26 2005-04-05 Lsi Logic Corporation Via and metal line interface capable of reducing the incidence of electro-migration induced voids
JP2004363155A (en) * 2003-06-02 2004-12-24 Ebara Corp Method and device for manufacturing semiconductor device
JP2005116630A (en) * 2003-10-03 2005-04-28 Ebara Corp Wiring forming method and apparatus thereof
JP2007042662A (en) * 2003-10-20 2007-02-15 Renesas Technology Corp Semiconductor device
JP4503356B2 (en) * 2004-06-02 2010-07-14 東京エレクトロン株式会社 Substrate processing method and semiconductor device manufacturing method

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6365516B1 (en) * 2000-01-14 2002-04-02 Advanced Micro Devices, Inc. Advanced cobalt silicidation with in-situ hydrogen plasma clean
US6638564B2 (en) * 2000-04-10 2003-10-28 Sony Corporation Method of electroless plating and electroless plating apparatus
US20020001929A1 (en) * 2000-04-25 2002-01-03 Biberger Maximilian A. Method of depositing metal film and metal deposition cluster tool including supercritical drying/cleaning module
US6475893B2 (en) * 2001-03-30 2002-11-05 International Business Machines Corporation Method for improved fabrication of salicide structures
US20050106865A1 (en) * 2001-09-26 2005-05-19 Applied Materials, Inc. Integration of ALD tantalum nitride for copper metallization
TW200403768A (en) * 2002-05-03 2004-03-01 Intel Corp Use of conductive electrolessly deposited etch stop layers, liner layers and via plugs in interconnect structures
TW200526815A (en) * 2003-11-05 2005-08-16 Freescale Semiconductor Inc Compositions and methods for the electroless deposition of NiFe on a work piece

Also Published As

Publication number Publication date
CN103107120B (en) 2016-06-08
SG174752A1 (en) 2011-10-28
JP2010503205A (en) 2010-01-28
CN101558186B (en) 2015-01-14
MY148605A (en) 2013-05-15
CN101558186A (en) 2009-10-14
CN103107120A (en) 2013-05-15
TW200832556A (en) 2008-08-01
MY171542A (en) 2019-10-17
JP2014099627A (en) 2014-05-29
JP5820870B2 (en) 2015-11-24
JP5489717B2 (en) 2014-05-14

Similar Documents

Publication Publication Date Title
TWI393186B (en) Processes and integrated systems for engineering a substrate surface for metal deposition
US8771804B2 (en) Processes and systems for engineering a copper surface for selective metal deposition
US8241701B2 (en) Processes and systems for engineering a barrier surface for copper deposition
US8747960B2 (en) Processes and systems for engineering a silicon-type surface for selective metal deposition to form a metal silicide
US7425506B1 (en) Methods of providing an adhesion layer for adhesion of barrier and/or seed layers to dielectric films
US8916232B2 (en) Method for barrier interface preparation of copper interconnect
US6821909B2 (en) Post rinse to improve selective deposition of electroless cobalt on copper for ULSI application
US20050124154A1 (en) Method of forming copper interconnections for semiconductor integrated circuits on a substrate
US20030034251A1 (en) Apparatus and method of surface treatment for electrolytic and electroless plating of metals in integrated circuit manufacturing
KR101506352B1 (en) Processes and integrated systems for engineering a substrate surface for metal deposition
US8133812B2 (en) Methods and systems for barrier layer surface passivation
JP2009514238A (en) Method for selectively depositing a thin film material on a semiconductor junction
US8053355B2 (en) Methods and systems for low interfacial oxide contact between barrier and copper metallization
US20050282378A1 (en) Interconnects forming method and interconnects forming apparatus
JP2007180496A (en) Manufacturing method of metallic seed layer
TWI609095B (en) Methods for manganese nitride integration
KR20040033260A (en) Method of producing semiconductor device
CN115472557A (en) Interconnect structure and method of forming the same