CN101558186A - Processes and integrated systems for engineering a substrate surface for metal deposition - Google Patents

Processes and integrated systems for engineering a substrate surface for metal deposition Download PDF

Info

Publication number
CN101558186A
CN101558186A CNA200780032409XA CN200780032409A CN101558186A CN 101558186 A CN101558186 A CN 101558186A CN A200780032409X A CNA200780032409X A CN A200780032409XA CN 200780032409 A CN200780032409 A CN 200780032409A CN 101558186 A CN101558186 A CN 101558186A
Authority
CN
China
Prior art keywords
copper
substrate
metal
integrated system
transfer chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA200780032409XA
Other languages
Chinese (zh)
Other versions
CN101558186B (en
Inventor
耶兹迪·多尔迪
弗里茨·C·雷德克
约翰·博伊德
威廉·蒂
蒂鲁吉拉伯利·阿鲁娜
阿瑟·M·霍瓦尔德
衡石·亚历山大·尹
约翰·韦尔托门
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US11/513,446 external-priority patent/US8747960B2/en
Priority claimed from US11/513,634 external-priority patent/US8771804B2/en
Priority claimed from US11/514,038 external-priority patent/US8241701B2/en
Application filed by Lam Research Corp filed Critical Lam Research Corp
Priority claimed from PCT/US2007/018270 external-priority patent/WO2008027216A2/en
Publication of CN101558186A publication Critical patent/CN101558186A/en
Application granted granted Critical
Publication of CN101558186B publication Critical patent/CN101558186B/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Landscapes

  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Physical Vapour Deposition (AREA)

Abstract

The embodiments provide processes and integrated systems that produce a metal-to-metal or a silicon-to-metal interface to enhance electro-migration performance, to provide lower metal resistivity, and to improve metal-to-metal or silicon-to-metal interfacial adhesion for copper interconnects. An exemplary method of preparing a substrate surface to selectively deposit a thin layer of a cobalt-alloy material on a copper surface of in an integrated system to improve electromigration performance of a copper interconnect is provided. The method includes removing contaminants and metal oxides from the substrate surface in the integrated system, and reconditioning the substrate surface using a reducing environment after removing contaminants and metal oxides in the integrated system. The method also includes selectively depositing the thin layer of cobalt-alloy material on the copper surface of the copper interconnect in the integrated system after reconditioning the substrate surface. System to practice the exemplary method described above are also provided.

Description

Substrate surface is done the technology and the integrated system of anticipating to carry out metal deposition
Background technology
[1] on semiconductor substrate, unicircuit uses conducting wiring to connect independent device, or communicates with the unicircuit of outside.The wiring metal that through hole and conductive trench are used may comprise aluminium alloy and copper.Electromigration (EM) is a kind of integrity problem common in the metal line process, is moved at the sense of current by electronics promotion atoms metal to cause that translational speed depends on the density of electric current.Electromigration may finally cause the metal wire attenuation, thereby makes resistance uprise even the metal wire fracture.Fortunately, with supply lead or ground wire difference, the electric current of all conductive metal wires on the unicircuit does not always move in the same direction.Yet along with metal wire more and more narrow (in International Technology Roadmap for Semiconductors (ITRS), each scientific-technical progress live width all will reduce general 70%), electromigratory problem is more and more serious.
[2] in aluminum steel, electromigration is a kind of scale of construction phenomenon (bulk phenomenon), can come better controlled by the admixture agent (for example copper) of mixing trace.Yet the electromigration in the copper cash is a kind of top layer phenomenon (surface phenomenon).As long as copper can move, this phenomenon will take place, particularly on the interface of copper and other metals, because the there tackiness is poor.Embed in the technology (dual-damascene process) the two of today, the most normal top that occurs in copper cash of this situation, the there contacts with the SiC diffused barrier layer usually, but also may occur on copper/resistance barrier interface.Each time in next technology node progressive process, along with the increase of current density, it is more serious that problem becomes.
[3] solution of problems of electromigration, the same with another common integrity problem related stress hole (related stress voids), become the integrated problem of technology: (for example optimize deposition, reduce the thickness of barrier layer and kind crystal layer), preceding and the post-depositional wafer cleaner of deposition, Surface Groove etc.All measures, target are to provide between layer and layer a kind of uniform interface and good tackiness to spread to reduce atomic migration and hole.In two embedding technologies, groove and hole (as contact and through hole) are carved in the dielectric medium, use the resistance that the is combined to form barrier material of tantalum (Ta), tantalum nitride (TaN) or two kinds of films to fill then, carry out then copper kind crystal layer deposition, electro-coppering, make the copper planarization with CMP, deposit dielectric stack then, for example SiC/ low-k/SiC.Because when copper is exposed in the air, the surface can form oxide compound, so before with the SiC covering copper, need carry out the cleaning behind the CMP and the removal of copper oxide, to guarantee good tackiness between copper and SiC.The removal of carrying out the oxide compound of copper before deposition SiC is necessary for good electric migration performance and reduction metallic resistance.
[4] recent, before the SiC dielectric layer, use CoWP, CoWB, cobalt-base alloy tectums such as CoWBP come covering copper, and compare with the SiC covering copper, have shown good electromigration.As shown in Figure 1, cobalt- base alloy tectum 20,30 is deposited on the copper layer 23,33, and covers the SiC layer 25,35 times at dielectric medium respectively.Tantalum or tantalum nitride layer are the layers 24,34 among the figure.Cobalt- base alloy layer 20,30 has strengthened the tackiness of 25,35 of copper layer 23,33 and SiC tectums.Cobalt- base alloy layer 20,30 also shows certain copper diffusion barrier characteristic.Utilize non-electrical deposition, the cobalt-base alloy tectum can optionally be deposited on the copper.Yet the very thin copper oxide layer that is exposed to airborne copper generation may suppress non-electrical deposition.And the pollutent of copper and dielectric surface also may cause the coating effect relevant with pattern, comprises the thickness of the cobalt-base alloy relevant with pattern, the thickness partial loss of the copper cash relevant with pattern that the etching in " hatching " time of beginning cobalt plating causes.Therefore, the CONTROL PROCESS environment is with the growth of the oxide compound of the former pig copper of restriction (or control), and at the metal refining tectum for example before the cobalt-base alloy, remove organic on the organic pollutant on the oxide compound of copper and copper surface and the dielectric medium and metal pollutant is extremely important.And, for the relevant deposition difference of minimizing pattern, must control dielectric surface so that its stdn that influences to the different mode density structure.In order to guarantee good interface tackiness and good electromigration characteristic, change 23,33 on copper layer, the interface that copper and barrier layer are 33,34,23 and 24, with tackiness promote layer (or metal cladding) for example the interface of 20,30 of cobalt-base alloy tectums become very crucial.And, along with metal line becomes more and more narrow, physical vapor deposition (PVD) resistance barrier and to plant the proportion of the metal line that brilliant film constitutes increasing, this has increased virtual impedance, thereby has increased current density.The conformal stepping that utilizes ald (ALD) layer (TaN, Ru or its mixture) to provide covers and acceptable resistance barrier characteristic, and the conformal kind crystal layer that provides of the non-electrical depositing operation of copper, and thin and resistance barrier and kind crystal layer conformal can alleviate this trend.Yet still do not produce the kind crystal layer of the non-electrical sedimentary copper that is adhered to ALD TaN resistance barrier film so far.
[5] to sum up, be necessary to provide a kind of system and technology of producing metal and intermetallic interface,, reduce Chip-R to promote electric migration performance, and tackiness between the interface of lifting copper wiring.
Summary of the invention
[6] taking it by and large, the present invention has satisfied the demand by a kind of better technology and system are provided, technology of the present invention and system strengthen electric migration performance by producing better metal and intermetallic interface or silicon and intermetallic interface, thereby lower metal impedance is provided, and promotes metal and intermetallic or the silicon and the intermetallic interface tackiness of copper wiring.Obviously, the present invention can finish by several different embodiments, comprises scheme, method, technology, device or system etc.Several implementation of the present invention is described below.
[7] in one embodiment, provide a kind of method of substrate surface being done the surface selectivity ground deposit cobalt alloy material thin layer of anticipating the copper that connects up with the copper at substrate in integrated system, this method can promote the electric migration performance of copper wiring.This method comprises, in integrated system, removes the pollutent and the metal oxide of substrate surface, uses reducing environment repairing substrate surface then.This method also comprises, behind the repairing substrate surface, deposits this cobalt-base alloy material thin-layer selectively on the surface of the copper of the copper wiring of integrated system.
[8] in another embodiment, provide a kind of and in controllable environment, transmit and the integrated system of treatment substrate, the electric migration performance that connects up with lifting copper with copper surface selectivity ground deposit cobalt alloy material thin layer in the copper wiring.This integrated system comprises the laboratory environment transfer chamber, can with substrate from its coupled substrate box be conveyed into this integrated system; And with this laboratory environment transfer chamber coupled board cleaning reactor, can clean to remove metal-organic combined pollutant of substrate surface substrate surface.
[9] this system also comprises vacuum transfer, works under the vacuum environment of air pressure less than 1 holder, and is coupled with at least one vacuum process module; And a vacuum process module, this vacuum process module is at least one and this vacuum transfer coupled vacuum process module, also works under the vacuum environment of air pressure less than 1 holder.This system also comprises the controllable environment transfer chamber, and inside is full of the rare gas element of selecting from one group of rare gas element; And with at least one controllable environment processing module of this controllable environment transfer chamber coupled.And, this system also comprises the non-electrical depositing operation module of cobalt-base alloy material, after substrate surface has been removed metal pollutant and organic pollutant, this cobalt-base alloy material thin-layer of copper surface deposition in the copper wiring, the non-electrical depositing operation module of this cobalt-base alloy material is one of at least one and this controllable environment processing module coupled controllable environment processing module, and its inside is full of the rare gas element of selecting from one group of rare gas element, and it has fluid delivery system, and treatment solution is wherein handled through the degassing.
[10] in another embodiment, provide a kind of in integrated system to substrate surface do anticipate with the metal refining barrier layer fill on the substrate the copper wire structures and in the method for the brilliant thin layer of the copper-depositing on surface kind of this metal barrier layer, to promote the electric migration performance of copper wiring.This method is included in the integrated system, and the exposed surface of cleaning underlying metal is to remove the metal oxide on surface.This underlying metal is a part that is electrically connected at the bottom wiring of this copper wiring.This method also comprises, in integrated system, deposits this metal barrier layer to fill the copper wire structures.Deposit after this metal barrier layer, under controllable environment, transmit and handle this substrate to prevent the formation of this metallic barrier oxide compound.This method also comprises, in integrated system, deposits brilliant thin layer of this copper kind and deposited copper packing layer thereon.
[11] in another embodiment, providing a kind of anticipates with the method at the brilliant thin layer of the metal barrier layer copper-depositing on surface kind of copper wire structures, to promote the electric migration performance of this copper wire structures the metallic barrier surface of substrate in integrated system.This method comprises, in integrated system, reduce this metallic barrier laminar surface with the oxygenate conversion on surface so that the surface metal enrichment of this metal barrier layer.This method also comprises, in integrated system, deposits brilliant thin layer of this copper kind and deposited copper packing layer thereon.
[12] in another embodiment, provide a kind of in controlled environment treatment substrate, with integrated system at the brilliant thin layer of metal barrier layer copper-depositing on surface kind of copper wiring.This integrated system comprises the laboratory environment transfer chamber, can with substrate from its coupled substrate box be conveyed into this integrated system.This system also comprises vacuum transfer, works under the vacuum environment of air pressure less than 1 holder, and is coupled with at least one vacuum process module.This integrated system also comprises vacuum process module, with the metal oxide of the exposed surface of cleaning system underlying metal.This underlying metal is the part of the bottom wiring that electrically connects with copper wiring.This vacuum process module that is used to clean is one of them of at least one and this vacuum transfer coupled vacuum process module, works under the vacuum environment of air pressure less than 1 holder.
[13] and, this integrated system comprises that vacuum process module is with the metal refining barrier layer.This vacuum process module that is used for the metal refining barrier layer is one of them of at least one and this vacuum transfer module coupled vacuum process module, works under the vacuum environment of air pressure less than 1 holder.And this integrated system also comprises the controllable environment transfer chamber, and inside is full of the rare gas element of selecting from one group of rare gas element.This controllable environment transfer chamber and the coupling of at least one controllable environment processing module.This integrated system comprises that also the non-electrical depositing treatment module of copper is with at the brilliant thin layer of this copper kind of this metal barrier layer surface deposition.The non-electrical depositing treatment module of this copper is at least one and this controllable environment transfer chamber coupled controllable environment processing module.
[14] in another embodiment, provide a kind of in controlled environment treatment substrate, with integrated system at the brilliant thin layer of metal barrier layer copper-depositing on surface kind of copper wiring.This integrated system comprises the laboratory environment transfer chamber, can with substrate from its coupled substrate box be conveyed into this integrated system.This integrated system also comprises vacuum transfer, works under the vacuum environment of air pressure less than 1 holder.This vacuum transfer and the coupling of at least one vacuum process module.
[15] this integrated system also comprises vacuum process module, to reduce this metal barrier layer.This vacuum process module is at least one and this vacuum transfer module coupled vacuum process module, works under the vacuum environment of air pressure less than 1 holder.And this integrated system also comprises the controllable environment transfer chamber, and its inside is full of the rare gas element of selecting from one group of rare gas element.This controllable environment transfer chamber and the coupling of at least one controllable environment processing module.And this integrated system comprises that also the non-electrical depositing operation module of copper is with the brilliant thin layer of this metal kind of surface deposition at this metal barrier layer.The non-electrical depositing operation module of this copper is at least one and this controllable environment transfer chamber coupled controllable environment processing module.
[16] in another embodiment, provide a kind of in integrated system to substrate surface do anticipate with the silicon of substrate or polysilicon surface optionally depositing metal layers to form the method for metal silicide.This method comprises, in integrated system, removes the organic pollutant of substrate surface, reduces this silicon or polysilicon surface then, is silicon with the oxygenate conversion with the silicon of this silicon or polysilicon surface.Then, under controllable environment, transmit and handle of the formation of this substrate, reduce the surface of this silicon or polysilicon to promote the alternative of silicon face metal with the oxide compound that stops silicon.This method also is included in the integrated system, reduces behind this silicon or the polysilicon surface, at the silicon of substrate or polysilicon surface depositing metal layers optionally.
[17] in another embodiment, provide a kind of under controllable environment treatment substrate, with optionally at the silicon face depositing metal layers of substrate to form the integrated system of metal silicide.This integrated system comprises the laboratory environment transfer chamber, can with substrate from its coupled substrate box be conveyed into this integrated system.This system also comprises vacuum transfer, works under the vacuum environment of air pressure less than 1 holder, and is coupled with at least one vacuum process module.This integrated system also comprises the vacuum process module of the organic pollutant of removing substrate surface, and this module is at least one and this vacuum transfer coupled vacuum process module, and it works under the vacuum environment of air pressure less than 1 holder.
[18] and, this integrated system comprises vacuum process module, to reduce this silicon face.This vacuum process module is at least one and this vacuum transfer coupled vacuum process module, and it works under the vacuum environment of air pressure less than 1 holder.And this integrated system also comprises the controllable environment transfer chamber, and its inside is full of the rare gas element of selecting from one group of rare gas element, and is coupled with at least one controllable environment processing module.This integrated system also comprises the non-electrical depositing operation module of metal, behind the reduction silicon face, optionally deposits this thin metal layer at silicon face.The non-electrical depositing operation module of this metal is at least one and this controllable environment transfer chamber coupled controllable environment processing module.
[19],, can make understanding clearly to other aspects of the present invention and advantage in conjunction with the accompanying drawing of explanation principle of the present invention from following embodiment.
Description of drawings
[20] below in conjunction with embodiment and accompanying drawing, particular content of the present invention and advantage are made more detailed description, each element is in full shown in the word label.
[21] Fig. 1 has shown a kind of cross section of exemplary wiring.
[22] Fig. 2 A-Fig. 2 D has shown the cross section at the wire structures of each step of interconnection wiring technology.
[23] Fig. 3 has shown the pollutent of several forms on chemical mechanical polishing of metals (CMP) the metacoxa surface.
[24] Fig. 4 A has shown pre-treatment has been carried out to carry out the non-electrical sedimentary example flow of cobalt-base alloy in the copper surface.
[25] Fig. 4 B has shown the example system that the technical process shown in a kind of Fig. 4 of utilization A is handled substrate.
[26] Fig. 5 A-Fig. 5 C has shown the cross section at the wire structures of each step of interconnection wiring technology.
[27] Fig. 6 A has shown pre-treatment has been carried out to carry out the non-electrical sedimentary example flow of cobalt-base alloy in the copper surface.
[28] Fig. 6 B has shown the example system that the technical process shown in a kind of Fig. 6 of utilization A is handled substrate.
[29] Fig. 7 A-Fig. 7 C has shown the cross section at the wire structures of each step of interconnection wiring technology.
[30] Fig. 8 A has shown pre-treatment has been carried out to carry out the non-electrical sedimentary example flow of cobalt-base alloy in the copper surface.
[31] Fig. 8 B has shown the example system that the technical process shown in a kind of Fig. 8 of utilization A is handled substrate.
[32] Fig. 9 A-Fig. 9 E has shown the cross section at the metal wire of each step of interconnection wiring technology.
[33] Figure 10 A has shown and a kind of the barrier layer surface has been anticipated to carry out the non-electrical sedimentary example flow of copper layer.
[34] Figure 10 B has shown the example system that the technical process shown in a kind of Figure 10 of utilization A is handled substrate.
[35] Figure 10 C has shown and a kind of the barrier layer surface has been anticipated to carry out the non-electrical sedimentary example flow of copper layer.
[36] Figure 10 D has shown the example system that the technical process shown in a kind of Figure 10 of utilization C is handled substrate.
[37] Figure 11 A has shown and a kind of the barrier layer surface has been anticipated carrying out the non-electrical deposition of copper layer, and the copper surface is anticipated to carry out the non-electrical sedimentary example flow of cobalt-base alloy.
[38] Figure 11 B has shown the example system that the technical process shown in a kind of Figure 11 of utilization A is handled substrate.
[39] Figure 12 A-Figure 12 D has shown the cross section at the wire structures of each step of interconnection wiring technology
[40] Figure 13 A has shown and a kind of resistance barrier surface has been anticipated carrying out the non-electrical deposition of copper layer, and the copper layer is anticipated to carry out the non-electrical sedimentary example flow of cobalt-base alloy.
[41] Figure 13 B has shown the example system that the technical process shown in a kind of Figure 13 of utilization A is handled substrate.
[42] Figure 14 A-Figure 14 D has shown the cross section that forms the door in each stage of metal silicide.
[43] Figure 15 A has shown and a kind of exposed silicon surface has been anticipated to form the exemplary plot of metal silicide.
[44] Figure 15 B has shown the example system that the technical process shown in a kind of Figure 15 of utilization A is handled substrate.
[45] Figure 16 has shown the schema of the system integration in the integrated system under the controllable environment.
Embodiment
The specific embodiment of several improved metal integrated technologies is provided [46], and this technology is removed surperficial metal oxide with the modified metal interface by utilizing reduction reaction, thereby improves electromigration metal impedance and interface tackiness.Should be pointed out that the present invention can finish by several modes, comprise technology, method, device, or system.Several specific embodiment of the present invention is described below.Obviously, for a person skilled in the art, even some of them or all ins and outs are not provided, the present invention still can realize.
[47] Fig. 2 A has shown two process sequence Bututs (pattern) cross sectional representation of wire structures afterwards that embed of use.This wire structures is positioned on the substrate 50, and a dielectric layer 100 is arranged, and this dielectric layer 100 is made in advance to form a metal wire 101 therein.This metal wire is generally made by inserting conducting metal (for example copper) then at dielectric medium groove at 100 li quarters in groove.
[48] in groove, a barrier layer 120 is arranged, diffuse into this dielectric medium 100 in order to stop copper product 122.This barrier layer 120 can be by physical vapor deposition (PVD) TaN, physical vapor deposition Ta, and the combination of ald (ALD) Ta or these several films is made.Also can use other barrier layer materials.In this planarization copper product 122 on deposit barrier layers 102, with the dielectric substance 104,106 that prevents to pass the upper strata during to the through hole 114 of this barrier layer 102 in etching, copper product 122 premature oxidations.This barrier layer 102 also can be used as selective etch stop layer and copper diffusion barrier layer.Typical barrier layer 102 materials comprise silicon nitride (SiN) or silicon carbide (SiC).
[49] deposition via dielectric layer 104 on this barrier layer 102.This via dielectric layer 104 can be that preferably, this dielectric substance has lower specific inductivity by organic silicate glass (OSG, the oxide compound of the adulterated silicon of carbon) or other forms of dielectric substance.The oxide compound of typical silicon comprises the oxide compound of the TEOS silicon of the non-admixture of plasma reinforced chemical vapour deposition (PECVD), the fluorinated silica glass of plasma reinforced chemical vapour deposition (FSG), HDP FSG, OSG, porous OSG or similar substance.Also can use commercial available dielectric substance to comprise Black Diamond (I) and Black Diamond (II) that the Applied Materials of Santa Clara produces, and the Coral of ASM Nutool Inc. of San Jose (Novellus Systems) production, the Aurora that the ASM U.S. company limited of Phoenix, AZ produces.It on this via dielectric layer 104 trench dielectric layer 106.This trench dielectric layer 106 can be a low-k dielectric materials, for example the adulterated oxide compound of carbon (carbon oxides).The specific inductivity of this low-k dielectric materials can be about 3.0 or lower.In one embodiment, this through hole and trench dielectric layer material are made of same material, and deposit to form a continuous film in the same time.Deposited after this trench dielectric layer 106, this substrate 60 that has this structure carries out Butut and etching technics to form through hole 114 and groove 116 according to prior art.
[50] Fig. 2 B has shown after formation through hole 114 and the groove 116, deposits this barrier layer 130 and a bronze medal layer 132 with liner and this through hole 114 of filling and groove 116.This barrier layer 130 can be by TaN, Ta, and the mixture of Ru or these several films forms.Though these all are the materials that adopts usually, also can adopt other barrier layer materials.Depositing copper film 132 is to fill this through hole 114 and groove 116 then.
[51] shown in Fig. 2 C, this copper film 132 is filled after this through hole 114 and the groove 116, utilizes chemically machinery polished (CMP) technology to make this substrate planarization this copper product (or unnecessary copper) and this barrier layer (or unnecessary resistance barrier) to remove these dielectric medium 106 surfaces.Shown in Fig. 2 D, next step is that for example cobalt-base alloy covers this copper surface 140 with copper/SiC interface adhesion promoter layer 135.Typical cobalt-base alloy comprises: CoWP, CoWB or CoWBP all can optionally deposit on the copper by non-electrical deposition process.The thickness of this adhesion promoter layer can be as thin as unimolecular layer, promptly has only several dusts, for example has only 5 dusts, and the thickness of 200 to 300 dusts also can be arranged, and at this moment can also be used as the Cu diffusion barrier, no longer needs this moment dielectric medium to cover.
[52] corrosion inhibitor of benzotriazole category (BTA) as copper often used in the chemically machinery polished of copper (CMP).Copper and BTA synthesize the Cu-BTA mixture.After the cleaning procedure of substrate after by copper CMP and CMP, the copper that may contain the Cu-BTA composite form on Cu line and adjacent dielectric is residual, shown in the open circles among Fig. 3.Cu-BTA mixture on the needs removal dielectric medium is in case the increase of leak-stopping electricity or short circuit metal.And Ta or other resistances hinder the residual of materials, shown in the hollow triangle among Fig. 3, may exist with trace, also have various organic pollutants, shown in the filled circles among Fig. 3.Except these pollutents, the oxide compound that also has other several those metals exists, and mainly is CuO and CuO 2, shown in the solid triangle among Fig. 3.Cu-BTA mixture, metal oxide and organic pollutant are three kinds of main surface contaminants, must remove from substrate surface.Anticipate dielectric surface and metallic surface, making it not have organism and metal combined contamination thing is the comparison difficulty, need comprise a plurality of surface treatment step of wet processing step and dried processing step.
[53] be several typical technical process and system below, pre-treatment is carried out so that top metal level can deposit on it with the good tackiness between double layer of metal in the surface of underlying metal.Show good electric migration performance by the sedimentary metal level of following several typical process flow and system, and therefore have lower metallic resistance rate.
1. handle the copper surface to carry out the cobalt-base alloy deposition
Example I: metal CMP stops on the dielectric layer
[54] Fig. 4 A has shown specific embodiment with the technical process of non-electrical deposit cobalt alloy on the copper surface 140 behind the two CMP that embed through hole-groove structures that show has been anticipated on the surface in Fig. 2 C.This substrate that uses in the flow process 400 that shows among Fig. 4 A has just been finished metal CMP technology to remove unnecessary copper and barrier layer, for example Ta and/or TaN.As described in the top paragraph relevant with Fig. 3, this substrate surface has several metals and organic pollutent.
[55] this technology starts from step 401, removes metal-organic combined pollutant (or metal-organic combined pollutant) of substrate surface, for example Cu-BTA mixture, and metal oxide.Although also will remove metal pollutant from copper and dielectric surface, the purpose in this step is the potential source metal that may be deposited as epipole afterwards as the back cobalt-base alloy by removing, to strengthen selectivity and to promote Co film form.In this step, with copper-BTA mixture, oxide compound of copper (CuOx) and other metal oxide, for example the oxide compound of tantalum (TaOy) is removed from substrate surface.The amount of the oxide compound of the copper of removing depends on the degree of depth of the metal oxide on pollution level and surface.Metal composite and metal oxide can be used the O2/Ar sputtering technology, or the chemical wet-etching technology of the chemical wet processing process sequence in a step or two steps is removed.At one preferably among the embodiment, use wet processing to remove the oxide compound of compound metal and metal.This chemical wet processing process use organic acid (for example DeerClean of the Kanto of Japan chemistry company limited production), half soluble solvent (for example ESC 5800 of the DuPont of Wilmington, Delaware State production), organic bases (for example TMAH), varies (for example quadrol, diethylenetriamine) or special chemical (for example health is the ELD sanitising agent and the Cap Clean 61 of the Enthone company limited production of Dick state Xi Heiwen).The Cu-BTA that removes dielectric surface has guaranteed that the copper in the Cu-BTA mixture can not be oxidized to the oxide compound of copper and be reduced to copper in other surface treatment step, reduce selectivity and provide the nucleation site of cobalt-base alloy, cause short circuit and make electrical leakage quantity increase at dielectric surface.Therefore, Cu-BTA removes technology and also may bring the loss of yield that is caused by short circuit or electric leakage.
[56] Cu-BTA mixture and other burning pollutent are two kinds of main metal pollutants will removing in this step, and this step can carry out in controlled or uncontrollable environment.For example, can remove Cu-BTA by the wet cleaning process, this wet cleaning process utilizes cleaning soln to finish, this cleaning soln comprises by TMAH, varies is quadrol for example, and diethylenetriamine or special cleaning are with chemical (for example health is ELD sanitising agent and the Cap Clean 61 that the Enthone company limited of Dick state Xi Heiwen produces).Can utilize weak organic acid (as citric acid) or other organic acid or mineral acid to remove metal oxide, particularly the oxide compound of copper.And, the acid that contains superoxide that also can working concentration very low (for example less than 0.1%), for example sulfuric peroxide mixture.It is residual that this wet cleaning process can also be removed other metal or metal oxide.
[57] existence of BTA is the result of wiring passivation on different Bututs or attribute type (for example little intensive, septulum from or the wide copper cash) copper cash, the degree part correlation of the electric shock effect that the amount of BTA is relevant with following these characteristics.This may cause the formation of the passivation layer relevant with pattern.This dependency may further influence the cobalt-base alloy deposition characteristics, causes the deposition characteristics relevant with pattern, and this is called as hatching effect or initial effect sometimes.The BTA that removes in the Cu wiring helps to eliminate this sedimentary effect relevant with pattern of cobalt-base alloy (sedimentary in the subsequent step) and makes cobalt-base alloy uniform deposition in intensive and isolation characteristic.
[58] shown in step 403, can for example contain oxygen plasma technology by the oxidation plasma and remove this organic pollutant.Preferably, this oxygen plasma technology occurs on the relatively low temperature that is lower than 120 ℃.The high temperature oxygen plasma process trends towards copper is oxidized to a thicker layer, is difficult to reduction later on more.Therefore, preferably use the cryogenic oxygen plasma process.In one embodiment, this oxygen plasma technology can be the following current plasma process.Perhaps, also can use the O2/Ar sputtering technology to remove organic residual (or pollutent) with physical property ground.Oxygen plasma technology and O2/Ar sputtering technology generally all are operated in below 1 holder.
[59] in case this substrate surface do not exist such as Cu-BTA, pollutents such as metal oxide and other organic pollutants, what then this substrate should be few as far as possible is exposed in the oxygen, to avoid the copper surface oxidized.The oxidation of copper is not the process of a self-limit.The time length that the amount of oxygen and copper surface are exposed to oxygen all should be limited (or control) to the formation of minimum with the oxide compound that reduces copper as far as possible.Although the oxide compound of copper can be reduced in subsequent step,, just possibly can't reduce fully if the oxide skin of copper is thicker.Therefore, the needs when removing organic pollutant, it is very important reducing as far as possible that copper is exposed in the oxygen.In order to reach control and limit exposure in the purpose of oxygen, this substrate should transmit and handle in a kind of controllable environment, for example in vacuum environment or in being full of the environment of rare gas element.
[60] in step 405, there is not the oxide compound of copper in order to ensure the surface of copper, repairing with the oxygenate conversion with residual copper to this substrate surface in reducing environment is copper.Step should have been removed any metal on the dielectric layer before the aforesaid cleaning, so reduction reaction only occurs over just in the copper wiring.The reduction reaction on this copper surface can be finished by hydrogenous plasma process, is copper (copper of substantially pure) with the oxygenate conversion with copper.The typical reactant gases that can be used for producing hydrogenous plasma body comprises hydrogen, ammonia, and carbon monoxide.For example, this substrate surface is by by hydrogen, the hydrogenous plasma deoxidization that the mixed gas of ammonia or two kinds of gases generates, and the temperature of this substrate is increased between 20 ℃ and 300 ℃.In one embodiment, this hydrogenous plasma process is a downstream plasma technology.After this substrate is finished this hydrogen reduction process, just can be used for cobalt-base alloy and deposit.The surface that should carefully protect copper is with the formation of the oxide compound of avoiding copper.As mentioned above, the existence of the oxide compound of copper may suppress the non-electrical deposition of cobalt-base alloy.Therefore, control transmits and the environment of treatment substrate is very important to reduce copper as far as possible to the exposure of oxygen.
[61] in the processing step 407 below, this cobalt-base alloy, CoWP for example, CoWB or CoWBP electrically are deposited on this copper surface by non-.The non-electrical deposition process of this cobalt-base alloy is a kind of wet processing, and only is deposited on catalyst surface, such as the copper surface.This cobalt-base alloy only optionally is deposited on the copper surface.
[62] finish after the non-electrical deposition of cobalt-base alloy, flow process can enter an optional processing step 409: post-depositional cleaning.Post-depositional cleaning can be cleaned with brush by utilizing chemical solution, and this chemical solution comprises the CP72B solution of being produced by the air products of Pennsylvania's Allentown and chemical company limited.Also can use other substrate surface cleaning procedure, for example the C3 of bright nurse TMOr P3 TMCleaning procedure.Other back Clean-chemical comprises azanol base chemicals, may remain in any metal matrix pollutent of dielectric surface behind the removal chemical plating.
[63] as mentioned above, to handling and wafer transmits environment to control for substrate being carried out pre-treatment be very important to carry out that cobalt-base alloy deposits, particularly after finishing the reduction of hydrogen plasma to the copper surface.Fig. 4 B has shown the synoptic diagram of a typical integrated system 450, and this integrated system reduces the exposure of substrate surface to oxygen in committed step as far as possible after the surface is handled.And because this is an integrated system, this substrate is handled the place from one and is transferred to another rapidly and handles the place, and this has limited the time that copper surface that pre-treatment finishes is exposed to oxygen.This integrated system 450 can be used at the whole process flow sequence treatment substrate shown in Fig. 4 A.
[64] as mentioned above, surface treatment, the non-electrical deposition of cobalt-base alloy and the optional post-depositional processing of cobalt-base alloy comprise a series of dry method and wet processing.This wet processing is generally operational under the atmospheric environment, however the oxygen plasma technology of dry method, hydrogen plasma process and O2/Ar sputtering technology all be operated in be lower than 1 the holder air pressure under.Therefore, this integrated system had both needed to handle dry process, needed to handle wet processing again.This integrated system 450 has three substrate delivery modules (or substrate transfer chamber) 460,470 and 480.This transfer chamber 460,470 and 480 all is equiped with the mechanical arm that substrate 455 can be transferred to another piece treatment zone from a treatment zone.This treatment zone can be substrate box, reactor or load lock chamber (loadlock).Substrate delivery module 460 works under the laboratory environment, promptly works in room temperature, normal atmosphere and is exposed to laboratory (or factory) environment of air, and air generally filters with control dust defective through HEPA-or ULPA-.Module 460 engages with substrate loader (or substrate box) 461, this substrate 455 sent into this integrated system or to be sent substrate back to substrate box 461 to proceed other processing outside system 450.
[65] as mentioned above, in technical process 400, substrate is carried out planarization to remove the excessive metal of substrate surface and only after keeping this metal (shown in Fig. 2 C) in the groove utilizing the chemically machinery polished of metal (CMP), this substrate 455 is admitted to integrated system 450 with the deposit cobalt alloy, for example CoWB, CoWP or CoWBP.As described in the step 401 of technical process 400, need to remove the surface contaminant of this substrate surface, for example Cu-BTA mixture and other metal oxide are residual.Cu-BTA and metal oxide can be removed by wet clean process, what this technology was used is cleaning soln, for example comprise the solution of TMAH or amine compound (complexing amines) (such as but not limited to quadrol, diethylenetriamine).Remove after the BTA-metal composite, the metal oxide that remains in copper and dielectric surface can be removed by wet clean process, and the cleaning soln that this technology is used for example comprises citric acid or other organic acids that can more or less with respect to copper the oxide selectivity of copper be removed.The oxide compound of metal oxide, particularly copper can utilize weak acid removals such as citric acid, also can use other organic acid or mineral acid.And, the acid that contains superoxide that also can working concentration very low (for example), for example sulfuric peroxide mixture less than 0.1%.It is residual that this wet cleaning process can also be removed other metal or metal oxide.
[66] this wet cleaning reactor 463 can be integrated with laboratory environment delivery module 460, and this module works under the laboratory environment.This wet cleaning reactor 463 can be used for carrying out a step of description in top Fig. 4 A step 401 or the cleaning procedures in two steps.Perhaps, can another one wet cleaning reactor 463 ' and this laboratory delivery module 460 is integrated, in reactor 463, to carry out the first step of this two steps cleaning procedure, in reactor 463 ', carried out for second step.For example, the cleaning soln that comprises chemical such as TMAH in reactor 463 with cleaning Cu-BTA, comprise faintly acid cleaning soln such as citric acid in reactor 463 ' with the clean metal oxide compound.
[67] this laboratory environment is under atmospheric pressure and is exposed to airborne.In technical process 400, although this wet cleaning reactor 463 can be integrated with this laboratory environment delivery module 460, this processing step also can be admitted to before integrated system carries out cobalt-base alloy deposition at substrate, and horse back is finished after finish metal CMP.Perhaps, this wet cleaning process also can be finished in controlled laboratory process environment, keeps this controlled laboratory environment after the process neutralization that the wet cleaning step is carried out is finished.
[68] aforementioned wet clean process organic residual (or the pollutent) that can not remove can be removed by the dry oxidation plasma process, for example oxygen containing plasma process, O2/Ar sputtering technology or after having removed Cu-BTA and metal oxide, carry out the Ar sputtering technology.As mentioned above, most plasma or sputtering technology all work in less than under the 1 holder air pressure; Therefore, these systems (or device, chamber or module) need be coupled to a delivery module that works in vacuum environment (for example air pressure less than 1 holder).If this delivery module integrated with this plasma process works in vacuum environment, the more free efficient of transmission meeting (faster) and this processing module of substrate are maintained at vacuum environment, because do not need the unnecessary time that this delivery module is vacuumized processing.And, because delivery module is under vacuum environment, so only be exposed under the low-down oxygen level with the substrate after the plasma process cleaning.If select for use this oxygen plasma technology to remove organic substance residues, this oxygen plasma treatment reactor 471 is coupled in a vacuum transfer module 470.
[69] vacuum transfer module 470 works under the vacuum and (holds in the palm less than 1) because laboratory environment delivery module 460 works in normal atmosphere under, and these two intermodules will be placed load lock chamber (loadlock) 465 so that substrate 455 can be in two modules 460 and 470 transmission of working under the different air pressure.Load lock chamber 465 is configured to be operated under the vacuum environment of air pressure less than 1 holder, or under the laboratory environment, or the inner rare gas element of from one group of rare gas element, selecting that is full of.
[70] for example, substrate 455 is finished and is used after the oxygen plasma technological process that oxygen carries out, and is admitted to contain hydrogen reduction plasma reduction chamber (or module) 473.Hydrogeneous plasma reduction is generally carried out at low pressure (less than 1 holder) environment; Therefore, this reduction chamber links to each other with this vacuum transfer module 470.This substrate 455 finishes after the hydrogeneous plasma reduction, and the copper surface cleaning that just becomes has no longer contained the oxide compound of copper.In a preferred embodiment, substrate is finished after the oxygen plasma technology, wafer is not removed from indoor, and is carried out hydrogen or hydrogen/ammonia plasma reduction step in situ.In either case, substrate is finished and promptly can be carried out cobalt-base alloy after the reducing process and deposited.
[71] as mentioned above, utilizing after hydrogeneous plasma reduction technology finishes the substrate reparation, the environment that control is handled and transmitted is very important to reduce that the copper surface is exposed in the oxygen.This substrate 455 should be handled under controllable environment, and this controllable environment can be vacuum environment or the environment that is full of one or more rare gas elementes, is exposed in the oxygen to limit this substrate 455.Dotted line 490 among Fig. 4 B has been described the boundary profile of the part of integrated system, has shown the treatment system and the delivery module of ambient controlled.Can reduce the exposure of substrate in 490 times transmission of controllable environment and treatment substrate to oxygen.
[72] the non-electrical deposition of cobalt-base alloy is a kind of wet processing, comprises and utilizes reductive agent to reduce the cobalt in the solution, and this reductive agent can be phosphorus base thing (for example hypophosphite) or boryl thing (for example dimethylamine borane), or the mixture of phosphorus base thing and boryl thing.This solution can utilize the phosphorus base reductant to deposit CoWP, also can utilize the boryl thing to deposit CoWB as reductive agent, also can utilize the mixture of phosphorus base thing and boryl thing to deposit CoWBP as reductive agent.In one embodiment, the non-electrical deposit solution of this cobalt-base alloy is an alkaline substance.Perhaps, the non-electrical deposit solution of this cobalt-base alloy also can be acid.Because this wet processing process is generally under atmospheric pressure finished, should be operated under the approximate normal atmosphere with this non-electrical deposition reactor coupled delivery module 480.Do not have oxygen in order to ensure this environment, generally fill this controllable environment delivery module 480 with rare gas element.And all fluids that use in this technology all are to handle through the degassing, for example utilize commercial available degas system that dissolved oxygen in the fluid is removed.Typical rare gas element comprises nitrogen, helium, neon, argon gas, krypton gas and xenon.
[73] in one embodiment, this wet method cobalt-base alloy non-electro-deposition reactor (or device, system or module) and rinsing and dehumidification system (or device, module) coupling is so that this substrate all is in dry status (dried advance/do) when transmission enters and send this non-electrical depositing system 481.This dried requirement of advancing/doing makes that this non-electrical depositing system 481 can integrate with this controllable environment delivery module 480, has avoided the use wet robot to be sent to the demand of isolating rinsing-irradiation modules.Also need to control the environment of this wet method depositing system 481 so that lower (limited) oxygen and moisture (water vapor) level to be provided.Also can fill this system to guarantee lower oxygen level in the processing environment with rare gas element.
[74] or, the mode that the non-electrical deposition of cobalt-base alloy also can be dried advanced/done is carried out, and is similar to the non-electrical copper deposition process of nearest announcement.The dried non-electrical copper deposition process of advancing/doing is to be used for carrying out the non-electrically sedimentary of copper.This technology use close on handle head (proximity process head) with non-electrical processing soup with in contacting of substrate surface is limited in a limited scope.No longer closing on the substrate surface of handling subfacies is exsiccant.This technology and system can be 10/607 at application number, 611, name is called " ApparatusAnd Method For Depositing And Planarizing Thin Films OnSemiconductor Wafers ", the applying date is on June 23rd, 2003, and application number is 10/879,263, name is called " Method and Apparatus For PlatingSemiconductor Wafers ", the applying date is to find in the U.S. Patent application on June 28th, 2004, and what these two parts of applications can be complete merges to here.Can utilize similar closing on to handle head and come cobalt-base alloy is carried out electroless-plating so that can carry out the dried processing of advancing/do.
[75] after the cobalt-base alloy deposition finished in system 481, this substrate 455 was transmitted through an optionally deposition back cleaning reactor.This can be by using the mechanical assistance instrument, for example for example uses CP72B or azanol base cleaning chemistry product to carry out hairbrush and clean, or use additive method, and for example submergence cleaning, rotation rinsing are cleaned, or C3 TMClose on technology.Rinsing and dehumidification system must be cleaned the system integration to advancing/do so that substrate 455 being can be in this wet-cleaned system 483 dried with this hairbrush.Can in system 483, be full of rare gas element with the amount of oxygen in restriction (or reduction) system.Shown in Fig. 4 A, these system's 483 usefulness dotted lines are represented to illustrate that this system is optionally, because these deposition back cleaning system are optional.Because this deposition back cleaning step is last step of carrying out in integrated system 450, so will be sent back to substrate box 461 after this substrate 455 disposes.Therefore, these cleaning system 483 also can be coupled with this laboratory environment delivery module 460, shown in Fig. 4 B.If these cleaning system 483 and these laboratory environment delivery module 460 couplings, then these cleaning system 483 do not need to be operated under the controlled environment, do not need to be full of this system with rare gas element yet.
[76] as mentioned above, Cu-BTA and metal oxide are removed processing step and also can be admitted to before integrated system carries out the cobalt-base alloy deposition at substrate, carry out behind metal CMP at once.
Example II: metal CMP stops on the barrier layer
[77] Fig. 5 A-5C has shown the cross section at each stage wire structures of technology.Among Fig. 5 A on the substrate this copper layer utilized the planarization of CMP technology.This barrier layer 130 also is not removed, and also is retained on the substrate surface.Fig. 6 A shown anticipating on this surface, with the specific embodiment that carries out the non-electrical sedimentary technical process of cobalt-base alloy on the copper of the metal valley of two embeddings.The substrate that technical process 600 among Fig. 6 A is used has just been finished the CMP technology of copper, to remove copper.Barrier layer still is retained on the substrate surface, shown in Fig. 5 A.The difference of example II and example I is that in the example II, dielectric medium 106 surfaces are not exposed to the Cu-BTA mixture or other copper alloy is residual.The quality of the dielectric layer in the example II is than higher (or have still less metal pollutant) in the example I.Therefore, those targets processing step of being to remove the oxide compound (these oxide compounds form after removing organic pollutant with oxygen gas plasma) of the copper of dielectric layer surface just can have been cancelled.
[78] technology starts from step 601, removes metal pollutant, for example Cu-BTA or metal oxide from substrate surface.As mentioned above, Cu-BTA mixture and metal oxide are the metal pollutants of two kinds of keys will removing.Remove described above mistake of technology of metal pollutant (for example Cu-BTA and metal oxide) from substrate surface.For example, Cu-BTA and metal oxide comprise the oxide compound of copper removing by wet clean process; This wet clean process is used cleaning soln, for example comprises variess such as TMAH or quadrol, diethylenetriamine.Remove Cu-BTA and deposit (can in the step of back, deposit) effect, and make cobalt-base alloy deposition be consistent at intensive and isolation characteristic place to eliminate the cobalt-base alloy relevant with pattern.
The oxide compound of [79] metal oxide, particularly copper can remove with weak acid such as citric acids, also can use other organic acid or mineral acid.And, the acid that contains superoxide that also can working concentration very low (less than 0.1%), for example sulfuric peroxide mixture.It is residual that this wet cleaning process also can be removed other metal and metal oxide.
[80] organic pollutant comprises and can remove the residual BTA on Cu and barrier layer surface in step 602.Organic pollutant can be removed with dry method oxygen plasma technology or other oxidation plasma process (for example making water, the plasma process of ozone or hydrogen peroxide steam).As mentioned above, oxygen containing plasma process preferably carries out under a low relatively temperature, is lower than 50 ℃ and preferably be lower than 120 ℃.This oxygen containing plasma process can be the following current plasma process.Perhaps, organic residual (or pollutent) also can be removed by the O2/Ar sputtering technology, promptly uses physical method to remove organic pollutant.As mentioned above, oxygen plasma technology and O2/Ar sputtering technology are general all carries out under the air pressure that is lower than 1 holder.
[81] Cu-BTA of removal substrate surface, behind the pollutents such as metal oxide and organic pollutant, what substrate should be few as far as possible contacts with oxygen to avoid the copper surface by further oxidation.After removing surface contaminant, in step 603, remove the barrier layer of substrate surface, Ta for example, TaN, the mixture of Ru or these materials is shown in Fig. 5 B.Barrier layer can be used for example CF 4Plasma process, the O2/Ar sputtering technology, CMP technology or chemical wet lithography technology are removed.CF 4Plasma process and O2/Ar sputtering technology are all carried out under the air pressure being lower than 1 holder.
[82] exist on the copper surface 140 among Fig. 5 A with the plasma oxidation step in the oxide compound of the copper that produces, may in resistance barrier metal removal step 603, be removed fully.Therefore, it is optional utilizing the processing step on hydrogenous plasma reduction copper surface.Yet, there is not the oxide compound of copper in order to ensure the copper surface, can (alternatively) in step 605, the oxide compound of any residual copper of substrate surface be reduced to copper.Reparation to the copper surface can utilize hydrogenous plasma process to finish, and the oxide compound of copper is reduced to copper.Carry out having described in the superincumbent example I of required gas of hydrogenous plasma process and processing condition.Substrate is finished after the hydrogen reduction process, just can carry out cobalt-base alloy and deposit.To carefully protect the surface of copper, avoid contacting to guarantee can not form the oxide compound of copper with oxygen.As mentioned above, the existence of the oxide compound of copper may suppress the non-electrical deposition of cobalt-base alloy.Therefore, the control processing is very important to reduce or eliminate the copper surface with contacting of oxygen with the carrying environment.
[83] in next processing step 607, cobalt-base alloy, CoWP for example, CoWB or CoWBP are optionally deposited on the copper surface.Cobalt-base alloy is shown in the layer 135 among Fig. 5 C.The non-electrical deposition of this cobalt-base alloy is the selective deposition process, also is a kind of wet processing.Cobalt-base alloy only is deposited on the copper surface.
[84] described in top example I, after finishing the non-electrical deposition of cobalt-base alloy, technical process can enter an optional processing step 609, promptly post-depositional cleaning.Post-depositional cleaning can be cleaned with the hairbrush that has chemical solution, this chemical solution for example is to comprise by the air products of Pennsylvania's Allentown and the CP72B solution of chemical company limited production, or azanol base chemical, to remove any metal pollutant that brings by this non-electrical depositing operation on the dielectric surface.Also can use other substrate cleaning.
[85] as mentioned above, the deposition that controls environment for cobalt-base alloy is very important, particularly after hydrogeneous plasma reduction is carried out on the copper surface.Fig. 6 B has shown the synoptic diagram of typical integrated system 650, this system 650 make substrate surface handled after in critical step few the contacting of trying one's best with oxygen.This integrated system 650 can be used for the processing substrate of the whole process sequence 600 of Fig. 6 A.
[86] similar to integrated system 450, integrated system 650 has three substrate delivery modules 660,670 and 680.Delivery module 660,670 and 680 all is equipped with mechanical manipulator, substrate 655 can be moved to another process area from a process area.Substrate delivery module 660 is operated under the laboratory environment.Module 660 is connected with substrate loader (or substrate box) 661, substrate 655 sent into this integrated system or to be sent back to substrate box 661 to proceed the processing outside the system 650.
[87] as above treatment scheme 600 is described, smooth to remove unnecessary copper at substrate from substrate through copper CMP technology, and barrier layer stayed dielectric surface, after staying copper in the groove, shown in Fig. 5 A, substrate 655 is sent in the integrated system 650 with the deposit cobalt alloy, for example CoWB, CoWP or CoWBP.As described in the step 601 of technical process 600, need to remove the surface contaminant of this substrate surface, Cu-BTA for example, metal oxide and organic residual.Cu-BTA and metal oxide can use and utilize cleaning soln, and such as the solution that comprises TMAH, wet clean process is removed.In the technical process 600, wet cleaning reactor 663 can be coupled with laboratory environment delivery module 660.In technical process 600, although wet cleaning reactor 663 can with 660 couplings of this laboratory environment delivery module, this processing step also can sent into substrate before integrated system carries out the cobalt-base alloy deposition, horse back carries out metal CMP technology after.Perhaps, this wet clean process can carry out under controlled laboratory environment, and this controllable environment neutralizes in whole wet cleaning process and should keep after finishing.
[88] wet clean process 601 that carries out in the reactor 683 does not have the organic substance residues (or pollutent) of removal, in step 602, removes by dry plasma technology, for example oxygen plasma technology or O2/Ar sputtering technology.As mentioned above, most plasma or sputtering technology all are to carry out under the environment that is lower than 1 air pressure; Therefore need and be operated in air pressure with these systems less than the coupling of the delivery module under the vacuum environment of 1 holder.If select oxygen plasma technology to clean this organic substance residues, this oxygen plasma process reactor 671 and these vacuum transfer module 670 couplings.
[89] this oxygen plasma technology can be the following current plasma process.In technical process 600, although oxygen plasma reactor 671 can be integrated with this vacuum transfer module 670, this processing step also can be admitted to integrated system with before carrying out the cobalt-base alloy deposition at substrate, carries out after metal CMP at once.
[90] vacuum transfer module 670 works under the vacuum environment and (holds in the palm less than 1) because laboratory environment delivery module 660 works in normal atmosphere under, so between these two delivery modules, place a load lock chamber 665, with at 660,670 transmission substrates 655. of these two modules
[91] substrate 655 is finished after the oxygen plasma technology, is admitted to a treatment system and carries out the barrier layer etching, shown in step 603.If select dry method resistance barrier plasma etching, barrier layer etching chamber (or module) 673 can be coupled with this vacuum transfer module 670.Dry method resistance barrier plasma process can be CF 4Plasma process or O 2/ Ar sputtering technology.
[92] be optional hydrogeneous plasma reduction technology after the barrier layer etching is finished, do not have the oxide compound of copper to exist to guarantee the copper surface.Hydrogeneous plasma reduction reaction can be carried out in plasma chamber (or module) 674, this plasma chamber and vacuum transfer module 670 couplings.Perhaps, hydrogeneous plasma reduction also can be subsequently carried out after the indoor residual oxygen of emptying being used for removing organic residual oxygen plasma reactor 671.
[93] as mentioned above, the non-electrical deposition of cobalt-base alloy is a kind of wet processing.Because wet-etching technique generally carries out under atmospheric environment, this delivery module 680 that is coupled in this non-electrical deposition reactor should work under the approximate normal atmosphere.In order to ensure not having oxygen in this environment of control, utilize rare gas element to be full of this controllable environment delivery module 680.And all fluids of using in the technology are all handled through the degassing, for example slough dissolved oxygen with commercial available degas system.
[94] the non-electrical deposition reactor of the wet method of cobalt-base alloy need with the coupling of rinsing and dehumidification system be in drying regime (dried advance/do) so that transmit the substrate that enters and send in this non-electrical depositing system 681.As mentioned above, the dried requirement of advancing/doing makes that this non-electrical depositing system 681 can be integrated with this controllable environment delivery module 680.Guarantee to keep in the system lower (or limited or controlled) oxygen level with rare gas element fill system 681.
[95] after cobalt-base alloy had deposited in system 681, substrate was sent to cleaning reactor 683 after the deposition.Also need rinsing and dehumidification system and hairbrush to clean the system integration and advance/do so that substrate 655 is dried in cleaning system 683.Fill this system 683 to guarantee not having oxygen to exist with rare gas element.As shown in Figure 6A, system's 683 usefulness dotted lines are represented, serve as optionally so that this system to be described, because these deposition back cleaning system are optional.Because deposition back cleaning is last step of carrying out in the integrated system 650, so substrate 655 will be sent substrate box 661 back to after disposing.These cleaning system 683 also can be coupled with this laboratory environment delivery module 660.
EXAMPLE III: metal CMP stops on the copper lamina
[96] Fig. 7 A-7C has shown the cross section of the wire structures in each stage of Wiring technique.Substrate among Fig. 7 A has just been finished the planarization of copper, but does not also remove the copper of substrate surface fully.One copper lamina 132 is also stayed on the substrate surface.Fig. 8 A has shown the specific embodiment of pre-treatment with the technical process of non-electrical deposit cobalt alloy on the copper of the metal valley of two embeddings has been carried out on the surface.The substrate of using in the technical process 800 of Fig. 8 A has just been finished copper CMP technology to remove the most copper on the barrier layer on the dielectric layer.Shown in Fig. 7 A, about 100 dusts to the thick copper lamina of about 1000 dusts is stayed on the substrate surface.The difference of EXAMPLE III and example I and II is in EXAMPLE III, have a copper lamina to cover the whole base plate surface, does not therefore worry because the electrolytic corrosion problem of the copper that the differing materials that contacts with it in the copper CMP solution causes.Because this copper lamina and other surface contaminant can be removed, therefore do not worry the problem of oxidation of copper in oxygen-free environment.Therefore, do not need to carry out the hydrogen plasma reduction.Example II and III do not hinder barrier CMP; Therefore can save the expense of CMP technology.With this technology to the copper surface carry out pre-treatment brought cobalt-base alloy on the copper layer with respect to the good selectivity on dielectric layer.
[97] technology starts from step 801, promptly removes the pollutent of substrate surface, comprises organic residual and inorganic, metal oxide.Organic pollutant can be removed with containing oxygen plasma, dry method oxygen plasma technology for example, water plasma process, the plasma process of hydrogen peroxide plasma process or ozone steam.As mentioned above, preferably, oxygen plasma technology is carried out being lower than under 120 ℃ the relative low temperature.Oxygen plasma technology can be the following current plasma process.Perhaps, organic residual (or pollutent) also can be removed by O2/Ar sputtering technology physical property.As mentioned above, oxygen plasma technology and O2/Ar sputtering technology are generally operational in less than under the 1 holder air pressure.
[98] substrate surface has been removed after the pollutent, and it is oxidized with contacting to prevent the copper surface of oxygen to reduce substrate as far as possible.Remove after the pollutent on surface, in step 803, on the barrier layer and the copper lamina on the dielectric layer be removed.Can pass through the O2/Ar sputter,, carry out chemical milling by using chemical such as sulfuric acid or hydrogen peroxide by the O2/HFAC plasma etching, or by using the composite chemical medicament that copper lamina is removed.O2/Ar sputter and O2/HFAC plasma process all are operated under the subatmospheric that is lower than 1 holder.
[99] then, in step 805, with the barrier layer of substrate surface, Ta for example, the combination of TaN or two kinds of films is removed.Fig. 7 B has shown removal copper lamina and the barrier layer cross section of wire structures afterwards.Barrier layer can use CF4 plasma process, O2/Ar sputter, CMP or chemical milling to remove.CF4 plasma etching and O2/Ar sputtering technology all work in and are lower than under the 1 holder air pressure.
[100], do not needed usually with the step on hydrogeneous plasma deoxidization copper surface because optionally the surface of the copper of deposit cobalt alloy is to form by the copper lamina on the etching dielectric medium under controllable environment and barrier layer.Yet, do not have the oxide compound of copper in order to ensure the surface of copper, alternatively, can be in step 807 the reducing base surface be reduced to copper with oxide compound with any residual copper.The described above mistake of copper surface reduction technology.Substrate just can carry out cobalt-base alloy and deposit by after this hydrogeneous reducing process.Need careful protection copper surface, prevent to generate the oxide compound of copper.In next processing step 809, non-electrical deposit cobalt alloy, for example CoWP, CoWB or CoWBP on the copper surface.The layer 135 of Fig. 7 C is cobalt-base alloys.The non-electrical deposition of cobalt-base alloy is optionally, is a kind of wet processing.Cobalt-base alloy only is deposited on the copper surface.
[101] as described in top example I and the II, finish after the non-electrical depositing operation of cobalt-base alloy, technical process can enter an optionally deposition back cleaning procedure step 811.Described among deposition back superincumbent example I of cleaning and the II.
[102] as mentioned above, controling environment for pretreated substrate is very important to carry out the cobalt-base alloy deposition, particularly after hydrogeneous plasma reduction is carried out on the copper surface.Fig. 8 B has shown the synoptic diagram of a typical integrated system 850, and this integrated system step by strictness after surface treatment reduces contacting of substrate surface and oxygen as far as possible.This integrated system 850 can be used to the processing substrate of the whole process sequence of the technical process 800 shown in Fig. 8 A.
[103] this integrated system 850 has three substrate delivery modules 860,870 and 880.Substrate delivery module 860,870 and 880 is equiped with mechanical manipulator, substrate 855 can be moved to another process area from a process area.Substrate delivery module 860 is operated under the laboratory environment.Module 860 links to each other with substrate loader (or substrate box) 861, substrate 855 is sent into integrated system or send substrate box 861 back to proceed the processing outside the system 850.
[104] as described in the top technical process 800, utilize copper CMP to carry out planarization at substrate to remove the unnecessary copper of substrate surface, and after staying a copper lamina on the barrier layer of dielectric surface, shown in Fig. 7 A, this substrate 855 is admitted to integrated system 850 with the deposit cobalt alloy, for example CoWB, CoWP or CoWBP.As described in the step 801 of technical process 800, need to remove the metal oxide of for example organic residual and non-copper of the pollutent of substrate surface.Because do not need to carry out wet method Cu-BTA cleaning, thus different with example I and II, this laboratory environment delivery module 860 perhaps can omit so that base plate carrying machine 861 can be directly and load lock chamber 865 be coupled.
[105] can by oxidation plasma technology for example oxygen gas plasma or O2/Ar sputter remove surface contaminant, comprise organic residual and metal oxide.As mentioned above, most plasma or sputtering technology work under the 1 holder air pressure, therefore, and need be with these systems and the delivery module coupling that works under the vacuum environment that is lower than 1 holder.If select oxygen plasma technology cleaning organic substance residues for use, then oxygen plasma process reactor 871 and a vacuum transfer module 870 couplings.
[106] oxygen plasma technology can be the following current plasma process.In technical process 800, although oxygen plasma reactor 871 can with vacuum transfer module 870 coupling, this processing step also can be admitted to before integrated system carries out the cobalt-base alloy deposition at substrate, carries out behind metal CMP at once.
[107] vacuum transfer module 870 works under the vacuum environment less than 1 holder because laboratory environment delivery module 860 works in normal atmosphere under, thus in these two intermodules placement load lock chamber 865 with at these two modules 860 and 870 transmission substrates 855.
[108] substrate 855 is finished after the oxygen plasma technology, transmits substrate 855 to treatment system and carries out the copper etching, shown in step 803.If select dry method copper plasma etching for use, copper etching chamber (or module) 873 and these vacuum transfer module 870 couplings.If select wet processing for use, the integrated wet copper etch system 873 ' that becomes of this wet etching reactor and rinsing/dehumidification system, this system 873 ' can be coupled with the delivery module 880 of controllable environment.For make this wet copper etch system 873 ' can with 880 couplings of this controllable environment delivery module, need dried this system 873 ' of advancing/do.In one embodiment, can a rinsing and dehumidification system and this wet copper etch system 873 ' is integrated to satisfy the dried requirement of advancing/do.System 873 ' also needs to be controlled to be and does not contain oxygen.Can be full of this system to guarantee not contain oxygen in the process environments with rare gas element.
[109] carry out the barrier layer etching after the copper etching, shown in step 805.If with dry method resistance barrier plasma etching industrial, barrier layer etching chamber 874 can be coupled with vacuum transfer module 870.If select wet method barrier layer etching technics for use, wet method barrier layer etch reactor can be integrated to become wet method barrier layer etching system 874 ' with rinsing/dehumidification system, and system 874 ' can be coupled with controllable environment delivery module 880.For make wet method resistance barrier etching system 874 ' can with 880 couplings of controllable environment delivery module, this substrate needs the dried system 874 ' of advancing/do.The environment that needs Controlling System 874 ' is to provide lower (or limited or controlled) oxygen level.Can fill this system to guarantee in the process environments lower oxygen level being arranged with rare gas element.
[110] discussed above, the technology after the barrier layer etching is optional hydrogeneous plasma reduction.The hydrogen plasma reduction can be carried out in plasma chamber 877, plasma chamber 877 and vacuum transfer module 870 couplings.
[111] as mentioned above, the non-electrical deposition of cobalt-base alloy is a wet processing.Because wet processing generally under atmospheric pressure carries out, so also should work under the nearly normal atmosphere with this non-electrical deposition reactor coupled delivery module 880.Content in order to ensure oxygen in controling environment is a lower level, can be full of rare gas element in controllable environment delivery module 880.And all fluids of using in the technology all pass through the degassing and handle, and for example, utilize commercial available degas system that dissolved oxygen is removed.Typical rare gas element comprises nitrogen, helium, neon, argon gas, krypton gas and xenon.
[112] the non-electrical deposition reactor of cobalt-base alloy wet method need be with the coupling of rinsing and dehumidification system so that this system 881 (dried advance/do) sent into and sends by substrate with dry status.The dried requirement of advancing/doing makes that non-electrical depositing system 881 can be integrated with controllable environment delivery module 880.It is lower with oxygen level in the assurance system to be full of system 881 with rare gas element.
[113] in system 881, finish cobalt-base alloy deposition after, substrate 855 is transmitted through deposition back cleaning reactor.Rinsing and dehumidification system also need to clean the system integration with hairbrush so that substrate 855 can be dried enter/do this wet cleaning system 883.Be full of system 883 to guarantee not having oxygen to exist with rare gas element.Shown in top Fig. 8 A, system's 883 usefulness dotted lines are represented to illustrate that this system is optionally, because deposition back cleaning procedure is optional.Because deposition back cleaning is last technology that need carry out in integrated system 850, so substrate 855 can be sent substrate box 861 back to after disposing.These cleaning system 883 also can link to each other with this laboratory environment delivery module 860.
2. handle resistance barrier surface to carry out the non-electrical deposition of copper
[114] above-described system conception can be used for pre-treatment is carried out to carry out the planarization of copper in resistance barrier surface.Barrier layer, Ta for example, TaN, or Ru surpass certain hour if be exposed in the oxygen, can form TaxOy (oxide compound of Ta), TaOxNy (oxynitride of Ta), or RuO 2(oxide compound of Ru).The non-electrical height of deposition of depositing metal layers depends on the surface property and the composition of substrate on substrate surface.Ta, the non-electrolytic copper plating on TaN or Ru surface is all benefited for the selective deposition of copper wiring in kind crystal layer formation before electroplating and the photoetching limiting pattern.The aspect that will pay close attention to is owing to the inhibition of the automatic thin atom native metal oxide thin layer that generates of the existence of oxygen for non-electrical depositing operation.
[115] and, copper film does not adhere to resistance barrier zone of oxidation, the oxide compound of Ta for example, the oxynitride of Ta or the oxide compound of Ru but adhere to pure resistance barrier metal or rich barrier layer film, Ta for example, the TaN film of Ru or rich Ta.Ta and/or TaN barrier layer only are as example.This description and conception also are applicable to other resistance barrier metal, the Ta or the TaN that for example have the Ru thin layer to cover.As mentioned above, the tackiness deficiency may have a negative impact to electric migration performance.And the formation of Ta oxide compound or Ta oxynitride may increase the resistivity of barrier layer on the barrier layer surface.Because these problems are anticipated so need to use integrated system that the interface of resistance barrier/copper is done,, reduce the resistivity of barrier layer to guarantee good tackiness between barrier layer and the copper interface.
Example I: generate metal wire
[116] Fig. 9 A has shown a kind of by dielectric etch and the cross sectional representation of removing photoresist material formation pattern metal line result afterwards.Metal wire structure is on substrate 900 and a silicon layer 110 arranged; This silicon layer 110 is that the front generates, and has the door 105 of gate oxide 121, pad 107 and contact 125.This contact 125 is generally inserted conducting metals such as tungsten then therein and is made by etching one contact hole on oxide compound 103.Equivalent material can be copper, aluminium or other electro-conductive materials.This barrier layer 102 also is configured to have selectivity etching groove terminated function.Barrier layer 102 can be with making as silicon nitride (SiN) or silicon carbide materials such as (SiC).
[117] metal wire dielectric layer 106 is deposited on this barrier layer 102.Can be used for that sedimentary dielectric substance is described above have been crossed.Deposited after this dielectric layer 106, substrate graphically and etching to generate metal valley 106.Fig. 9 B has shown after the formation metal valley 116 that metal refining barrier layer 130 is to carry out metal valley 116 wirings.Fig. 9 C has shown and has deposited after the barrier layer 130 that copper layer 132 on barrier layer 130.Barrier layer 132 can be to be made by the combination of TaN, Ta, Ru or these several films.Depositing copper film 132 is with filler metal groove 116 then.In one embodiment, comprise the brilliant thin layer 131 of copper kind below the copper film 132.
[118] utilizing the plasma surface pretreatment technology to prepare this catalyst surface with the brilliant thin layer 131 of the non-electrical copper kind of deposition conformal, and with after copper film 132 filling grooves 116, substrate 900 is utilized chemistry and mechanical means planarization (CMP) or etched copper product (or redundant copper) and barrier layer (or redundant resistance barrier) to remove dielectric medium 106 surfaces, shown in Fig. 9 D.In one embodiment, the thickness of the brilliant thin layer of copper kind arrives between about 300 dusts at about 5 dusts.Next step is with copper/SiC interface tackiness promoting layer 135, cobalt-base alloy for example, and shown in Fig. 9 E, covering copper surface 140.Typical cobalt-base alloy comprises: CoWP, and CoWB or CoWBP, these can utilize depositing on the copper of non-electrical process choice.The thickness of adhesion promoter layer can be so thin as unimolecular layer, just several dusts, and 5 dusts for example also can be thicker, for example 200 dusts.
[119] Figure 10 A has shown that resistance is hindered (or serif (liner)) laminar surface anticipates, so that carry out the non-electrical sedimentary technical process 1000 of copper after forming groove.Yet, should be noted that resistance barrier (or serif) layer also can be in non-integrated depositing system individual curing in ALD or the PVD deposition reactor for example.In this case, the pre-treatment of the surface being carried out for the brilliant thin layer of deposited copper kind can not comprise metal bolt pre-washing and resistance barrier deposition step.In step 1001, the upper surface 124a of cleaning contact bolt (contact plug) is to remove native metal oxide.Metal oxide can pass through the Ar sputtering technology, utilizes fluoro-gas, as NF3, and the plasma process that CF4 or both combinations are carried out, wet chemical etch technology or reducing process (for example using hydrogeneous plasma process) are removed.In step 1003, deposit barrier layers.Because extremely narrow metal line and strict clear size of opening requirement, this barrier layer can carry out with technique for atomic layer deposition (ALD), and this determines according to state of the art.The thickness of barrier layer 130 arrives between about 200 dusts at about 20 dusts.As mentioned above, stop barrier layer to have good tackiness very crucial on copper and the barrier layer interface with contacting of oxygen for non-electrically being deposited on of guaranteeing copper.Deposited after the barrier layer, substrate should transmit under controllable environment and handle with restriction and the contacting of oxygen.In optional step 1005, barrier layer utilizes the hydrogen plasma process to handle to generate the metal enrichment surface on Ta, TaN or Ru layer to think that follow-up copper kind crystal layer deposition step provides catalytic surface.Whether this step needs the metal enrichment degree that depends on that this is surperficial.
[120] then, in step 1007,, in step 1008, carry out thick copper and fill (or scale of construction filling) technology then at resistance barrier surface deposition conformal copper kind crystal layer.In one embodiment, utilize non-electrical depositing operation to deposit this conformal copper kind crystal layer.Thick copper scale of construction fill process can right and wrong electrically deposit (ELD) technology or electrochemistry plating (ECP) technology.Non-electrical depositing operation and electrochemistry electroplating technology all are the wet processings of knowing.For with wet processing process and the above-mentioned controlled transmission and the system integration of processing environment, reactor should with rinsing/drying installation integrated so that its can be dried advance/do.And, need to be full of rare gas element in this system to reduce contacting of substrate and oxygen as far as possible.The dried non-electrical process for copper that advances/do has appearred recently.And all fluids that technology is used must be handled through the degassing, for example, by commercial available degas system dissolved oxygen are removed.
[121] non-electrical depositing operation can be undertaken by good several modes, and for example puddling plating distributes a fluid on the substrate and makes it and under static state reacts, and then reactant is removed and is abandoned, or recycle.In another embodiment, this technology is used to close on and is handled head and only contact with the localized area of substrate surface to limit non-electrical treatment solution.Not not exsiccant closing on the substrate surface of handling under the head.This technology and system can be 10/607 at application number, 611, name is called " Apparatus And Method For Depositing And PlanarizingThin Films On Semiconductor Wafers ", the applying date is on June 23rd, 2003, and application number is 10/879,263, name is called " Method and Apparatus For PlatingSemiconductor Wafers ", the applying date is to find in the U.S. Patent application on June 28th, 2004, and what these two parts of applications can be complete merges to here.Can utilize similar closing on to handle head and come cobalt-base alloy is carried out electroless-plating so that can carry out the dried processing of advancing/do.
[122] finish after the copper deposition process of step 1007 and 1008, substrate can carry out an optional board cleaning step 1009.Copper deposition back cleaning can be cleaned by the hairbrush that uses chemical solution, and this chemical solution for example is to comprise by the air products of Pennsylvania's Allentown and the CP72B solution of chemical company limited production.Also can use other substrate surface cleaning procedure, for example the C3 of bright nurse TMOr P3 TMCleaning procedure.
[123] Figure 10 B has shown after the surface preparation of resistance barrier finishes, and reduces the synoptic diagram of the integrated system 1050 that substrate surface contacts with oxygen in committed step as far as possible.And because be integrated system, substrate is handled the place from one and is sent to the next place of handling rapidly, can the restricting substrate surface and the lower level that touches of oxygen.Integrated system 1050 can be used for treatment substrate in the whole process flow sequence 1000 shown in Figure 10 A.
[124] as mentioned above, substrate surface is carried out pre-treatment and not only comprise dry process but also comprise wet processing with the non-electrical deposition and the optional cobalt-base alloy deposition back technology of carrying out copper.Wet processing generally carries out under nearly normal atmosphere, and dry plasma technology is carried out under less than 1 holder air pressure.Therefore, this integrated system must carry out dry process and can carry out wet processing again.This integrated system 1050 has three substrate delivery modules (or substrate transfer chamber) 1060,1070 and 1080.This delivery module 1060,1070 and 1080 all is equiped with the mechanical arm that substrate 1055 can be transferred to another piece treatment zone from a treatment zone.This treatment zone can be substrate box, reactor or load lock chamber.Substrate delivery module 1060 works under the laboratory environment.Module 1060 engages with substrate loader (or substrate box) 1061, so that this substrate 1055 is sent into this integrated system or sent substrate back to substrate box 1061.
[125] as described in the top technical process 1000, substrate 1055 is sent into integrated system 1050 with deposit barrier layers and copper layer.As described in the step 1001 of top technical process 1000, the tungsten matter upper surface 124a of contact 125 is carried out etching to remove the oxide compound of primary tungsten.Remove after the oxide compound of tungsten, the exposed surface of the tungsten among Fig. 9 A that needs protection contacts with oxygen avoiding.If this removal technology is the Ar sputtering technology, reactor 1071 is coupled with this vacuum transfer module 1070 so.If select chemical etching technology for use, reactor should with controllable environment delivery module 1080 coupling, rather than with 1060 couplings of laboratory environment delivery module, with contacting of restriction tungsten surface and oxygen.
[126] then, metal refining barrier layer on substrate, Ta for example, TaN, the combination of Ru or these films is as described in the step 1003 among Figure 10 A.Barrier layer 130 among Fig. 9 B can pass through ALD technology or PVD process deposits.In one embodiment, the ALD technological work is in being lower than under the 1 holder air pressure.ALD reactor 1073 and vacuum transfer module 1070 couplings.In another embodiment, depositing operation is to utilize supercritical co and Organometallic precursor to form the high-pressure process of metallic barrier.In another embodiment, this depositing operation is to work in the physical vapor deposition (PVD) technology that is lower than under the 1 holder air pressure.The details of the typical reactor of the high-pressure process of use supercritical co is at the common U.S. Patent application of transferring the possession of 10/357, describe in 664, name is called " Method and Apparatus forSemiconductor Wafer Cleaning Using High-Frequency Acoustic Energywith Supercritical Fluid ", the applying date is on February 3rd, 2003, introduces for your guidance herein.
[127] substrate can carry out an optional reducing process, for example uses hydrogenous plasma body, as described in the step 1005 of Figure 10 A.Hydrogen reducing reactor 1074 and vacuum transfer module 1070 couplings.In this stage, substrate can carry out non-electrical deposition of copper.The non-electrical plating of copper can be finished the kind crystal layer with the deposition conformal in the non-electrical electroplating reaction device 1081 of copper.After deposition kind of the crystal layer, the scale of construction of copper is filled and can be carried out in the same non-electrical deposition reactor 1081 of the kind crystal layer that deposits this conformal, fills but utilize different chemical to finish the scale of construction.Perhaps, the scale of construction of copper is filled and can independently be carried out in the ECP reactor 1081 ' at one.
[128] before substrate left integrated system 1050, alternatively, substrate can enter a cleaning surfaces process, removed the residual of front copper deposition process.For example, this substrate cleaning can be a brush cleaning technology.Board cleaning reactor 1083 can be integrated with controllable environment delivery module 1080.Perhaps, board cleaning reactor 1083 can be integrated with laboratory environment delivery module 1060.
[129] or, before system that substrate 900 is sent into carries out surface treatment and copper deposition, in chamber, carry out the deposition of barrier layer 130 among Fig. 9 B.Figure 10 C has shown resistance barrier (or serif) laminar surface has been carried out the embodiment of pre-treatment with the non-electrical sedimentary technical process 1090 of carrying out copper.The hydrogen plasma treatment is carried out with at Ta in resistance barrier surface, and TaN or Ru layer produce the metal enrichment surface, in optional step 1095, think that copper kind brilliant deposition step in back provides catalytic surface.Whether this step needs to depend on the content of surface metal.
[130] then, in step 1097,, in step 1098, carry out thick copper and fill (or scale of construction filling) technology then at resistance barrier surface deposition conformal copper kind crystal layer.In one embodiment, utilize this conformal copper kind crystal layer of non-electrical process deposits.Thick copper scale of construction fill process can right and wrong electrically deposit (ELD) technology or electrochemistry plating (ECP) technology.Finish after the copper deposition process of step 1097 and 1098, substrate can carry out an optional board cleaning step 1099.Copper deposition back cleaning can be cleaned by the hairbrush that uses chemical solution, and this chemical solution for example is to comprise by the air products of Pennsylvania's Allentown and the CP72B solution of chemical company limited production.Also can use other substrate surface cleaning procedure, for example the C3 of bright nurse TMOr P3 TMCleaning procedure.
[131] Figure 10 D has shown after the surface preparation of resistance barrier finishes, and reduces the synoptic diagram of the integrated system 1092 that substrate surface contacts with oxygen in committed step as far as possible.And because be integrated system, substrate is handled the place from one and is sent to the next place of handling rapidly, can the restricting substrate surface and the lower level that touches of oxygen.Integrated system 1092 can be used for treatment substrate in the whole process flow sequence 1090 shown in Figure 10 C.
[132] as mentioned above, substrate surface is carried out pre-treatment and not only comprise dry process but also comprise wet processing with the non-electrical deposition and the optional cobalt-base alloy deposition back technology of carrying out copper.Wet processing generally carries out under nearly normal atmosphere, and dry plasma technology is carried out under less than 1 holder air pressure.Therefore, this integrated system must carry out dry process and can carry out wet processing again.This integrated system 1092 has three substrate delivery modules (or substrate transfer chamber) 1060,1070 and 1080.This delivery module 1060,1070 and 1080 all is equiped with the mechanical arm that substrate 1055 can be transferred to another piece treatment zone from a treatment zone.This treatment zone can be substrate box, reactor or load lock chamber.Substrate delivery module 1060 works under the laboratory environment.Module 1060 engages with substrate loader (or substrate box) 1061, so that this substrate 1055 is sent into this integrated system or sent substrate back to substrate box 1061.
[133], resistance barrier surface is carried out pre-treatment, substrate 1055 is sent into integrated system 1092 with after carrying out non-electrical copper deposition at the intact barrier layer of substrate deposition as described in the top technical process 1090.Substrate at first carries out a reducing process, for example uses hydrogenous plasma body, as described in the step 1095 of Figure 10 C.Hydrogen reducing reactor 1074 and vacuum transfer module 1070 couplings.In this stage, substrate can carry out non-electrical deposition of copper.The non-electrical plating of copper can be finished to deposit the kind crystal layer of a conformal in the non-electrical electroplating reaction device 1081 of a bronze medal.Deposited after kind of the crystal layer, the scale of construction of copper is filled and can be carried out in the same non-electrical deposition reactor 1081 of the kind crystal layer that deposits this conformal, fills but utilize different chemical to finish the scale of construction.Perhaps, the scale of construction of copper is filled and can independently be carried out in the ECP reactor 1081 ' at one.
[134] before substrate left integrated system 1092, alternatively, substrate can enter a cleaning surfaces process, removed the residual of front copper deposition process.For example, this substrate cleaning can be a brush cleaning technology.Board cleaning reactor 1083 can be integrated with controllable environment delivery module 1080.Perhaps, board cleaning reactor 1083 can be integrated with laboratory environment delivery module 1060.
[135] Figure 11 A has shown and resistance barrier (or serif (liner)) laminar surface has been anticipated so that carry out the non-electrical deposition of copper, and the copper surface behind the CMP is anticipated so that carry out the embodiment of the non-electrical sedimentary technical process of cobalt-base alloy.In step 1101, the upper surface 124a of cleaning contact bolt (contact plug) is to remove native metal oxide.Metal oxide can pass through Ar sputtering technology, plasma reduction technology, reactive ion etching, removals such as chemical wet lithography technology.In step 1103, deposit barrier layers.In optional step 1105, barrier layer utilizes the hydrogen plasma process to handle to generate the metal enrichment surface on Ta, TaN or Ru layer to think that follow-up copper kind crystal layer deposition step provides catalytic surface.Whether need this step to depend on the content of surface metal.
[136] yet, should be noted that resistance barrier (or serif) also can be in non-integrated depositing system individual curing in ALD or the PVD deposition reactor for example.In this case, the pre-treatment of the surface being carried out for the brilliant thin layer of deposited copper kind can not comprise metal bolt pre-washing and resistance barrier deposition step, these steps the step 1001 of Figure 10 A and 1003 and the step 1101 and 1103 of Figure 11 A in described.In these cases, above-mentioned technology should be from step 1005 or 1105.
[137] then, in step 1107,, in step 1108, carry out thick copper and fill (or scale of construction filling) technology then at resistance barrier surface deposition one conformal copper kind crystal layer.In one embodiment, utilize this conformal copper kind crystal layer of non-electrical process deposits.Thick copper scale of construction fill process can right and wrong electrically deposit (ELD) technology or electrochemistry plating (ECP) technology.Non-electrical depositing operation and electrochemistry electroplating technology all are the wet processings of knowing.For with wet processing process and the above-mentioned controlled transmission and the system integration of processing environment, reactor should with rinsing/drying installation integrated so that its can be dried advance/do.And, need to be full of rare gas element in this system to reduce contacting of substrate and oxygen as far as possible.The dried non-electrical process for copper that advances/do has appearred recently.And all fluids that technology is used must be handled through the degassing, for example, by commercial available degas system dissolved oxygen are removed.
[138] on substrate, finish the copper kind crystalline substance (step 1107) of deposition conformal and finish thick copper by non-electrical or electrical electroplating technology and fill (or scale of construction filling) (step 1108) afterwards, in step 1109, the copper layer 132 of the substrate surface on the barrier layer on the dielectric medium 106 130 is removed, shown in Fig. 9 D.Remove barrier layer then.Removing technology for these two all carries out in the step 1109 of Figure 11 A.The lip-deep copper of removing on the barrier layer can be finished by CMP technology, and this is a kind of wet processing.Can remove barrier layer with reactive ion etching, for example CF4 plasma process, O2/Ar sputtering technology, CMP technology or wet chemical etch process.These barrier layer etching technics fronts were all described.
[139] remove after the barrier layer, utilize cleaning procedure to remove Cu-BTA mixture and metal oxide (step 1110) and organic pollutant (1111) to remove the pollutent of substrate surface.Two go on foot substrate surface is carried out having described above the details of cleaning procedure with this after the metal CMP.
[140] in step 1112, remove after the pollutent of substrate surface, utilize reduction plasma body (hydrogenous) that all metal remained oxide compounds are reduced to metal.Finish after the hydrogen reduction, the cleaning that copper surface becomes and catalytic is arranged can be carried out non-electrical deposition of cobalt-base alloy.In step 1113, substrate is carried out rinsing and dry to carry out the non-electrical deposition of cobalt-base alloy on substrate.Last processing step 1115 is optional substrate cleaning steps, with any residual pollutent in the cobalt-base alloy depositing operation of removing the front.
[141] Figure 11 B has shown after the surface preparation of resistance barrier finishes, and reduces the synoptic diagram of the integrated system 1150 that substrate surface contacts with oxygen in committed step as far as possible.And because be integrated system, substrate is handled the place from one and is sent to the next place of handling rapidly, can the restricting substrate surface and the lower level that touches of oxygen.Integrated system 1150 can be used for treatment substrate in the whole process flow sequence 1100 shown in Figure 11 A.
[142] this integrated system 1150 has three substrate delivery modules (or substrate transfer chamber) 1160,1170 and 1180.This delivery module 1160,1170 and 1180 all is equiped with the mechanical arm that substrate 1155 can be transferred to another piece treatment zone from a treatment zone.This treatment zone can be substrate box, reactor or load lock chamber (loadlock).Substrate delivery module 1160 works under the laboratory environment.Module 1160 engages with substrate loader (or substrate box) 1161, so that this substrate 1155 is sent into this integrated system or sent substrate back to substrate box 1161.
[143] as described in the technical process 1100 among top Figure 11 A, substrate 1155 is sent into integrated system 1150 with deposit barrier layers, pre-treatment is carried out with copper layer in resistance barrier surface, to copper surface row pre-treatment behind the CMP to carry out the non-electrical deposition of cobalt-base alloy.As described in the step 1101 of top technical process 1100, the upper surface 124a of cleaning contact bolt (contact plug) 125 is to remove native metal oxide.Perhaps, the oxide compound on metal bolt surface also can use the reduction plasma process to remove for example hydrogenous plasma process.Remove after the oxide compound on metal bolt surface, should prevent that the metallic upper surface 124a of the exposure among Fig. 9 A from contacting with oxygen.If this removal technology is the Ar sputtering technology, reactor 1171 is coupled with this vacuum transfer module 1170 so.If select wet chemical etch process for use, reactor should be coupled with controllable environment delivery module 1180, rather than is coupled with laboratory environment delivery module 1160, with the metal bolt surface of restriction cleaning and contacting of oxygen.
[144] then, metal refining barrier layer on substrate, Ta for example, TaN, the combination of Ru or these films is as described in the step 1103 among Figure 11 A.Barrier layer 130 among Fig. 9 B can pass through ALD technology or PVD process deposits.In one embodiment, the ALD technological work is in being lower than under the 1 holder air pressure.ALD reactor 1173 and vacuum transfer module 1170 couplings.In another embodiment, depositing operation is to utilize supercritical co and Organometallic precursor to form the high-pressure process of metallic barrier.In another embodiment, this depositing operation is to work in the physical vapor deposition (PVD) technology that is lower than under the 1 holder air pressure.Substrate can carry out an optional reducing process, for example uses hydrogenous plasma body, as described in the step 1105 of Figure 11 A.Hydrogen reducing reactor 1174 and vacuum transfer module 1170 couplings.In this stage, substrate can carry out non-electrical deposition of copper.The non-electrical plating of copper can be finished the kind crystal layer with the deposition conformal in the non-electrical copper electroplating reaction device 1181 of a bronze medal.After deposition kind of the crystal layer, the scale of construction of copper is filled and can be carried out in the same non-electrical deposition reactor 1181 of the kind crystal layer that deposits this conformal, fills but utilize different chemical to finish the scale of construction.Perhaps, the scale of construction of copper is filled and can independently be carried out in the ECP reactor 1181 ' at one.
[145] then, the copper of removing on the substrate is redundant redundant with the resistance barrier, as described in the step 1109 of Figure 11 A.Remove the copper redundancy and can in a CMP system 1183, finish, also can in two CMP systems, finish with resistance barrier redundancy.In the embodiment shown in Figure 11 A, only used a CMP system 1183.After finishing the redundant CMP removal of copper redundancy and resistance barrier, need the clean substrate surface to remove surface contaminant.Remove copper BTA mixture and metal oxide with wet cleaning system 1185.Remove organic pollutant with oxygen plasma system 1177.In one embodiment, removing organic oxygen plasma technology can carry out in hydrogen reduction chamber 1174.
[146] behind the removal pollutent, substrate is carried out reducing process, as described in the step 1112 of Figure 11 A.Hydrogen reduction technology can be to be rich in the same reduction reactor 1174 of Ta to carry out with hindering the barrier surface reduction.After hydrogen reduction disposed, the non-electrical deposition of cobalt-base alloy can be carried out in the copper surface in reactor 1187.
[147] before substrate leaves integrated system 1150, substrate can carry out an optional surface cleaning process, with the residue in the copper electroplating technology of cleaning front.Board cleaning technology can be a brush cleaning technology, and its reactor 1163 can be integrated with laboratory environment delivery module 1160.
[148] as Figure 15 B described with controllable environment delivery module 1180 coupled wet processing systems, all need to satisfy the dried requirement of advancing/doing to carry out the system integration.
Example II: two embedding wiring sequences
[149] Figure 12 A has shown the cross sectional representation of the wire structures after two embeddings are connected up.This wire structures is on substrate 1200 and a zone of oxidation 100 arranged; This zone of oxidation 100 is that the front generates, to make metal wire 101 inside.This metal wire is generally inserted conducting metals such as copper then therein and is made by etching one groove on oxide compound 100.
[150] barrier layer 120 is arranged in the groove, diffuse into oxide compound 100 to prevent copper product 122.Barrier layer 120 can be to be made by the combination of TaN, Ta, Ru or these several films.Also can use other barrier layer materials.Deposit barrier layers 102 stops so that etching to be provided in via etch process on copper product 122, and as the diffusion barrier between dielectric layer and the copper.This barrier layer 102 can with silicon nitride (SiN) (SiC) or other be fit to be integrated into two materials that embed technical process and make.
[151] deposition via dielectric layer 104 on barrier layer 102.Via dielectric layer 104 can be by inorganic dielectric material such as silicon-dioxide, or preferred low-k dielectric materials is made.Typical dielectric medium comprises non-doping TEOS silicon-dioxide, fluorinated silica glass (FSG), organic silicate glass (OSG), porous OSG, or commercial available Black Diamond (I) and Black Diamond (II), Coral, Aurora etc.Deposited after the via dielectric layer 104, carried out Butut and etching technics to form via holes 114.Use dielectric barrier layer, for example SiC or Si 3N 4, protect copper surface 122a.Figure 12 A has shown the two embedded structures after formation via holes 114 and the groove 116.Dielectric barrier layer 102 under the via holes 114 has been got rid of.
[152] shown in Figure 12 B, after forming via holes 114 and groove 116, deposit the first barrier layer 130I, the second barrier layer 130II and copper layer 132 are with filling vias hole 114 ' and groove 116.The first barrier layer 130I and the second barrier layer 130II are made by TaN, Ta or Ru.Also can use other barrier layer materials.In one embodiment, the first barrier layer 130I is that the second barrier layer 130II is with the Ta layer as thin as a wafer of quick PVD process deposits or utilizes ALD or the Ru layer of PVD process deposits with the TaN thin layer of ALD process deposits.In one embodiment, the thickness of the first barrier layer 130I is between about 150 dusts at about 10 dusts; The thickness of the second barrier layer 130II is that about 10 dusts are between about 50 dusts.The TaN thin layer of ALD provides the conformal of the barrier layer on through hole 114 ' and the groove 116 to cover.The Ta thin layer of PVD or Ru thin layer provide and the good tackiness that deposits to the copper layer on barrier layer 130I and the 130II.Usually, the sedimentary barrier layer of PVD does not have good step to cover (this film is not conformal in other words).Therefore, need an ALD barrier layer to cover so that good resistance barrier to be provided in through hole and groove.In another embodiment, the first barrier layer 130I and the second barrier layer 130II are attached on the simple layer, can pass through ALD technology or PVD process deposits.The material of this simple layer can be Ta, TaN, the combination of Ru or these films.
[153] finish after the deposition of the first barrier layer 130I and the second barrier layer 130II, substrate carries out aforesaid surface reparation step and is rich in Ta to guarantee the barrier layer surface.Depositing copper film 132 then, and method is with a PVD deposition kinds brilliant 131 or a non-electrical deposition kind crystalline substance 131, and then with thick copper packing layer filling vias hole 114 and groove 116.
[154] after with copper film 132 filling vias holes 114 and groove 116, with the copper product (or copper redundancy) and the barrier layer (or the resistance barrier is redundant) of substrate 1200 planarizations, shown in Figure 12 C to remove dielectric medium 106 surfaces.Then to substrate carry out aforesaid surperficial repair process step with guarantee substrate surface be cleaning and also the surface of copper do not have the oxide compound of copper.Next step is with copper such as cobalt-base alloy/SiC interface adhesion promoter layer 135 covering copper surfaces 140, shown in Figure 16 D.Typical cobalt-base alloy comprises CoWP, and CoWB and CoWBP can depositing on the copper with non-electrical process choice.The thickness of adhesion promoter layer can be so thin as unimolecular layer, and just several dusts also can be thicker, for example 200 dusts.
[155] Figure 13 A has shown resistance barrier (or serif) laminar surface has been carried out pre-treatment carrying out the non-electrical deposition of copper layer, and to the specific embodiment of copper surface row pre-treatment behind the CMP with the non-electrical sedimentary technical process of carrying out cobalt-base alloy.In step 1301, the upper surface 122a of clean metal line 101 is to remove the oxide compound of primary copper.The oxide compound of copper can be removed by Ar sputter or chemical wet lithography technology.In step 1302, first barrier layer (130I among Figure 12 B) is with ALD system deposition, and in step 1303, second barrier layer (130II among Figure 12 B) deposits with the PVD system.As mentioned above, prevent contacting of barrier layer and oxygen, when guaranteeing that copper is deposited to barrier layer, it is very crucial that good tackiness is arranged between copper and the barrier layer.Deposited after the barrier layer, the transmission that should under controllable environment, carry out substrate with handle to limit itself and the contacting of oxygen.In step 1305, handle barrier layer to produce a rich metal level with reductibility plasma body (for example hydrogenous plasma body), this richness metal level can provide catalytic surface for the brilliant deposition step of follow-up copper kind.This reductibility Cement Composite Treated by Plasma is optionally, depends on the composition on surface.
[156] then, in step 1307,, in step 1308, carry out thick copper and fill (or scale of construction filling) technology then at the copper kind crystalline substance of resistance barrier surface deposition conformal.The copper kind crystal layer of conformal can deposit by non-electrical technology.The thick copper scale of construction is filled (or gap filling) and can be deposited by ECP technology.Perhaps, thick copper packing layer also can deposit in the same non-electrical system of this conformal kind crystal layer of deposition, but what be to use is different chemical.
[157] after the step 1307 copper kind crystal layer of conformal (deposition) and step 1308 (by non-electrical plating or the electrical thick copper scale of construction fill process that carries out of plating) are finished, in step 1309, remove the copper layer 132 of the substrate surface on the barrier layer 130 on the dielectric medium 106, shown in Figure 11 C.Remove barrier layer then.These two are removed technology all is to finish in the step 1309 of Figure 13 A.Removing the copper of barrier layer upper surface can finish with CMP technology, and this is a kind of wet processing.Barrier layer can be finished by CF4 plasma process, O2/Ar sputtering technology, CMP technology or chemical wet lithography technology.These barrier layer etching technics fronts were described.
[158] remove after the barrier layer, utilize cleaning procedure to remove Cu-BTA mixture and metal oxide (step 1310) and organic pollutant (1311) to remove the pollutent of substrate surface.Two go on foot substrate surface is carried out having described above the details of cleaning procedure with this after the metal CMP.
[159] in step 1312, remove after the pollutent of substrate surface, utilize the reduction plasma process, for example hydrogeneous plasma process is reduced to metal with all metal remained oxide compounds.Finish after the hydrogen reduction, the copper surface become the cleaning and also catalytic is arranged, can carry out non-electrical deposition of cobalt-base alloy.In step 1313, substrate is carried out rinsing and dry to carry out the non-electrical deposition of cobalt-base alloy on substrate.Last processing step 1315 is optional substrate cleaning steps, with any residual pollutent in the cobalt-base alloy depositing operation of removing the front.
[160] Figure 13 B has shown after resistance barrier and copper surface preparation finish, and reduces the synoptic diagram of the integrated system 1350 that substrate surface contacts with oxygen in critical step as far as possible.。And because be integrated system, substrate is handled the place from one and is sent to the next place of handling rapidly, can the restricting substrate surface and the lower level that touches of oxygen.Integrated system 1350 can be used for treatment substrate in the whole process flow sequence 1300 shown in Figure 13 A.
[161] this integrated system 1350 has three substrate delivery modules (or substrate transfer chamber) 1360,1370 and 1380.This delivery module 1360,1370 and 1380 all is equiped with the mechanical arm that substrate 1355 can be transferred to another piece treatment zone from a treatment zone.This treatment zone can be substrate box, reactor or load lock chamber (loadlock).Substrate delivery module 1360 works under the laboratory environment.Module 1360 engages with substrate loader (or substrate box) 1361, so that this substrate 1355 is sent into this integrated system or sent substrate back to substrate box 1361.
[162] as described in the technical process 1300 among top Figure 13 A, substrate 1355 is sent into integrated system 1350 with deposit barrier layers, pre-treatment is carried out with copper layer in resistance barrier surface, to copper surface row pre-treatment behind the CMP to carry out the non-electrical deposition of cobalt-base alloy.As described in the step 1301 of top technical process 1300, the copper upper surface 122a of metal wire is carried out etching to remove the oxide compound of primary copper.Remove after the oxide compound of copper, the exposed surface 122a of the tungsten among Figure 12 A that needs protection contacts with oxygen avoiding.If this removal technology is the Ar sputtering technology, reactor 1371 is coupled with this vacuum transfer module 1370 so.If select chemical etching technology for use, reactor should with controllable environment delivery module 1380 coupling, rather than with 1360 couplings of laboratory environment delivery module, with contacting of restriction tungsten surface and oxygen.
[163] then, this first and second barrier layer of deposition on substrate.This first barrier layer 130I ALD process deposits of Figure 12 B, this technology is a dry process, works in to be lower than under the 1 holder air pressure.ALD reactor 1372 and these vacuum transfer module 1370 couplings.This second barrier layer 130II of Figure 12 B is with PVD or ALD process deposits, and this technology is dry process, works in to be lower than under the 1 holder air pressure.PVD reactor 1373 and 1370 couplings of this vacuum transfer module.Substrate carry out an optional hydrogen reduction technology with guarantee the barrier layer surface be rich metal to carry out the non-electrical deposition of copper.The non-electrical plating of copper can be carried out in the non-electrical electroplating reaction device 1381 of copper, with the copper kind crystal layer of deposition conformal, as described in the step 1307 of Figure 13 A.As mentioned above, the deposited copper packing layer can carry out in same non-electrical electroplating reaction device 1381 in the step 1308 of Figure 13 A, but utilizes different chemical, or one independently ECP reactor 1381 ' in carry out.
[164] then, as described in the step 1309 of Figure 13 A, the copper of removing on the substrate is redundant redundant with the resistance barrier.Remove the copper redundancy and can in a CMP system 1383, finish, also can in two CMP systems, finish with resistance barrier redundancy.In the embodiment shown in Figure 13 A, only used a CMP system 1383.After finishing the redundant CMP removal of copper redundancy and resistance barrier, need the clean substrate surface to remove surface contaminant.Remove copper BTA mixture and metal oxide with wet cleaning system 1385.Remove organic pollutant with oxygen plasma system 1377.In one embodiment, removing organic oxygen plasma technology can carry out in hydrogen reduction chamber 1374.
[165] behind the removal pollutent, substrate is carried out reducing process, as described in the step 1312 of Figure 13 A.Hydrogen reduction technology can with will hinder the barrier surface reduction carry out in same reduction chamber 1374 for being rich in Ta.After hydrogen reduction disposed, the non-electrical deposition of cobalt-base alloy can be carried out in the copper surface in reactor 1387.
[166] before substrate leaves integrated system 1350, substrate can carry out an optional surface cleaning process, with the residue in the copper electroplating technology of cleaning front.Board cleaning technology can be a brush cleaning technology, and its reactor 1163 can be integrated with laboratory environment delivery module 1360.
[167] as Figure 13 B described with controllable environment delivery module 1380 coupled wet processing systems, all need to satisfy the dried requirement of advancing/doing to carry out the system integration.
[168] thus said apparatus and method are used for the metallic surface anticipated to carry out follow-up metal deposition and promote tackiness and electric migration performance between metal-metal.This invention thought also is applicable to anticipates to carry out follow-up optionally layer metal deposition silicon face.
3. silicon face is anticipated to carry out follow-up selectivity electroless metal deposition to form metal silicide
[169] above-described technological process is used for improving the electric migration performance of copper wirings such as contact, through hole and metal line, metallic resistance rate, even productive rate.In the past in integrated circuit production process, another kind of metal deposition at silicon or polysilicon surface with source/drain/gate at device, resistance, the ground area of structure (for example resistance eutral grounding zone), the door zone, capacitor regions or induction areas form the silicon metal level, to reduce contact impedance and good Ohmic contact is provided.Figure 14 A has shown the cross section of the grid structure 127 on the silicon substrate 110, and this grid structure 127 comprises grid thin oxide layer 121, polysilicon layer 105 and nitride spacer 107.Separate active device with shallow trench isolation layer (STI) 65.On the two sides of grid structure is source region 61 and drain region 63.In the source region 61, exposed silicon surface 62 is arranged.In the drain region 63, exposed silicon surface 64 is arranged.On polysilicon layer 105, the polysilicon 109 of exposure is arranged.Form metal silicide to reduce sheet resistance.
[170] in order to form metal silicide, metal 111, nickel (Ni) for example, titanium (Ti) or cobalt (Co) at first are deposited to silicon face, as shown in Figure 14B.Now, metal 111 be with the PVD process deposits to the substrate surface, rather than optionally deposit to silicon or dielectric area.Allow metal annealing form Pure Silicon Metal alloy (silicide) then with the substrate regions that contacts with silicon or polysilicon at metal.Do not form silicide on the dielectric layer.Responseless metallographic phase by the removal of selectivity, comprises residual unreacted metal on metal in the dielectric area and the silicide regions for silicide.Perhaps also can replace present Co or Ni depositing operation with non-electrical metal deposition.Advantage is that metal silicide layer can be thicker and better etching barrier properties is provided and can allows the formation of contact between metal-metal.In order to carry out non-electrical metal deposition, need clean silicon surface, remove the oxide compound of primary silicon.Metal 111 is optionally deposited to after the silicon face 62,64, substrate is carried out high-temperature heat treatment, for example about 800 ℃ to about 900 ℃, to form metal silicide 113, shown in Figure 14 C.The metal silicide 113 that forms make contact 125 can with drain region 61 electrical communication, shown in Figure 14 D.
[171] as mentioned above, before carrying out the non-electrical deposition of metal, pre-treatment is carried out on the surface need under controllable environment, be carried out to guarantee that forming non-electrical sedimentary surface does not contact with oxygen.Figure 15 A has shown a specific embodiment that generates the technical process 1500 of metal silicide.In step 1501, remove metal pollutant from all dielectric surfaces; This can finish by known method and chemical.Step 1501 is optional steps, only needs when needs are paid close attention to the metal pollutant on surface.In step 1502, remove the organic pollutant of substrate surface then.As mentioned above, can remove organic pollutant by some kinds of wet methods or dry process.Then, in step 1503, the reduction silicon face is reduced to silicon with the oxide compound with primary silicon.The oxidation of primary silicon is the process of a self-limit; Therefore, zone of oxidation is extremely thin, does not need to remove the step of oxide compound before reducing process.As mentioned above, this reducing process can be the hydrogen plasma process.
[172] after the surface reduction, non-electrical deposition of metal just can be carried out in the surface of silicon.In step 1505,, deposit to silicon (the comprising polysilicon) surface of exposure with Ni metal selectives such as Ti or Co.This optionally metal deposition can finish by non-electrical technology.After finishing the non-electrical deposition of metal, substrate carries out a board cleaning step 1507 of optionally finishing with known method and chemical.Then, in step 1509, substrate carries out pyroprocessing (or annealing) to form metal silicide.
[173] Figure 15 B has shown the specific embodiment of integrated system 1550.This integrated system 1550 comprises laboratory environment delivery module 1560, vacuum transfer module 1950 and controllable environment delivery module 1580.Laboratory environment delivery module 1560 and substrate box 1561 couplings that substrate 1555 is housed.In one embodiment, metal pollutant is removed with wet clean process, the wet clean process of removal metal pollutant for example described above a kind of.Wet clean process can carry out in chamber 1565, chamber 1565 and 1560 couplings of laboratory environment delivery module.Because this step is optionally, so the chamber 1565 usefulness dotted lines among Figure 15 B are represented.After removing metal pollutant, remove organic pollutant.In one embodiment, organic pollutant uses oxygen containing plasma process to remove, oxygen for example, water or ozone-plasma; This technology is carried out in reactor 1571, and reactor 1571 and these vacuum transfer module 1570 couplings because oxygen plasma technology is a kind of low pressure dry process, are lower than under the 1 holder air pressure so work in.
[174] then, the reduction of the silicon face of the step 1503 of technical process 1500 can be carried out in reactor 1573.Then substrate is sent to next system and carries out metal deposition in non-electrical reactor 1581, to form metal silicide (or metal silicide).Through vacuum transfer module 1570, load lock chamber 1575 and controllable environment delivery module 1580 finally enter reactor 1581 and handle substrate from reactor 1573.This non-electrical metal deposition reaction device 1581 is equipped with rinsing/dehumidification system.After metal deposition was intact, substrate can carry out an optional board cleaning step in that wet cleaning is indoor, as described in the processing step among Figure 15 A 1507.Finish after the non-electrical deposition, substrate is sent into thermal reactor 1576, rapid thermal process (RTP) reactor for example is to form metal silicide.
[175] said system makes needs low pressure dry process, high-pressure process, wet processing blended processing substrate process can be integrated together with in the processing step restriction of key and contacting of oxygen.Figure 16 has shown the synoptic diagram that system is how integrated.It is integrated that the laboratory environment delivery module can and not need to limit the dry process (or non-controllable environment) that contacts with oxygen with substrate box, wet processing.Vacuum transfer module can be integrated with the low pressure dry process.Vacuum transfer module works under the vacuum environment, for example is lower than 1 holder air pressure; Therefore, be limited and controlled with contacting of oxygen.Load lock chamber I makes it possible to transmit substrate between laboratory environment delivery module and vacuum transfer module.The controllable environment delivery module can with wet processing, nearly normal atmosphere technology and high-pressure process are integrated." high pressure " this speech is used for distinguishing mutually with low pressure.High-pressure process refers to the technology higher than normal atmosphere, for example aforesaid supercritical carbon dioxide process.In one embodiment, load lock chamber (not showing among the figure) is arranged with efficient transmission substrate between delivery module and chamber between high-pressure process chamber and controllable environment delivery module.Load lock chamber II is responsible for transmitting substrate between vacuum transfer module and controllable environment delivery module.The delivery module of controllable environment and the inside reactor that is attached thereto are full of rare gas element, with contacting of restriction and oxygen.Load lock chamber II inside also can be full of rare gas element to exchange substrate with the controllable environment delivery module.
Although describe the present invention with top several embodiment, obviously for a person skilled in the art, explanation and research accompanying drawing by reading the front can carry out various distortion, expansion, replace and be equal to replacement to the present invention.Therefore, the present invention includes all various distortion, expansions that fall into real thought of the present invention and scope, replace and be equal to replacement.In the claims, unless outside offering some clarification on, each element and/or step are not represented its specific working order.

Claims (40)

  1. One kind in integrated system, substrate surface done anticipates, with deposit cobalt alloy material thin layer, thereby promote the method for the electronic migration of this copper wiring at the copper surface selectivity of the copper wiring of substrate, it is characterized in that this method comprises:
    In integrated system, remove the pollutent and the metal oxide of substrate surface;
    In integrated system, remove after pollutent and the metal oxide, use reducing environment to repair this substrate surface; And
    In integrated system, repair after this substrate surface, at the copper surface selectivity ground deposit cobalt alloy material thin layer of this copper wiring.
  2. 2. method according to claim 1 is characterized in that, wherein this substrate surface is with containing hydrogen plasma reparation, and this contains hydrogen plasma and is generated by hydrogen (H2), ammonia (NH3) or its combination.
  3. 3. method according to claim 1, it is characterized in that, wherein this repairing substrate surface is the copper of substantially pure with the oxygenate conversion of the copper on surface, and after having repaired substrate surface, in controllable environment, substrate transmitted and handles generation with the oxide compound of the copper that reduces the copper surface as far as possible.
  4. 4. method according to claim 3 is characterized in that, wherein reducing after the copper surface, restricting substrate transmit with treating processes in the contacting of oxygen so that this cobalt-base alloy material thin-layer optionally is deposited on this copper surface.
  5. 5. method according to claim 1 is characterized in that, wherein utilizes non-electrical depositing operation at this cobalt-base alloy material thin-layer of copper surface selectivity ground deposition, with the tackiness between the dielectric capping layers of the copper surface that promotes the copper wiring and copper wiring.
  6. 6. method according to claim 1 is characterized in that, this cobalt-base alloy material is selected from CoWP, CoWB and CoWBP.
  7. 7. one kind is transmitted under controllable environment and treatment substrate so that on the copper surface of the copper wiring of substrate deposit cobalt alloy material thin layer optionally, thereby promote the integrated system of the electronic migration of this copper wiring, it is characterized in that this integrated system comprises:
    The laboratory environment transfer chamber, can with substrate from this laboratory environment transfer chamber coupled substrate box in be conveyed into integrated system;
    With this laboratory environment transfer chamber coupled board cleaning reactor, wherein this board cleaning reactor clean substrate surface is to remove metal-organic combined pollutant of substrate surface;
    The vacuum environment transfer chamber works under the vacuum environment that is lower than 1 holder air pressure, and the coupling of at least one vacuum process module and this vacuum environment transfer chamber is wherein arranged;
    The vacuum environment processing module is in order to the organic pollutant on clean substrate surface; Wherein this vacuum environment processing module be this at least one and this vacuum environment transfer chamber coupled vacuum environment processing module in one, and work under the vacuum environment that is lower than 1 holder air pressure;
    The non-electrical depositing operation module of cobalt-base alloy material, in order to remove metal pollutant and organic pollutant at substrate surface, and after the oxide compound of copper surface removal copper, this cobalt-base alloy material thin-layer of copper surface deposition in the copper wiring, the non-electrical depositing operation module of this cobalt-base alloy material be this at least one and this controllable environment transfer chamber coupled controllable environment processing module in one, and be full of the rare gas element of from one group of rare gas element, selecting, and have fluid delivery system, the treat fluid in this fluid delivery system is handled through the degassing.
  8. 8. integrated system according to claim 7, it is characterized in that, also comprise: hydrogeneous reducing process module, be reduced to copper in order to oxide compound with the copper of copper remained on surface, wherein this hydrogeneous reducing process module and this vacuum environment transfer chamber coupling, this hydrogeneous reducing process module works in less than 1 and holds in the palm under the vacuum environment of air pressure.
  9. 9. integrated system according to claim 7, it is characterized in that, also comprise and this laboratory environment transfer chamber coupled board cleaning reactor, wherein this board cleaning reactor cleans this substrate surface to remove the metal oxide of substrate surface, and wherein this wet cleaning solution comprises citric acid, sulfuric acid or contains one of sulfuric acid of hydrogen peroxide.
  10. 10. integrated system according to claim 7 is characterized in that, also comprises:
    First load lock chamber, with this vacuum environment transfer chamber and the coupling of this controllable environment transfer chamber, wherein this first load lock chamber assists substrate to transmit between this vacuum environment transfer chamber and this controllable environment transfer chamber, this first load lock chamber is configured to work under the vacuum environment that is lower than 1 holder air pressure, or is full of the rare gas element selected to work under the air pressure identical with this controllable environment delivery module from one group of rare gas element; And
    Second load lock chamber, with this vacuum environment transfer chamber and the coupling of this laboratory environment transfer chamber, wherein this second load lock chamber is assisted the transmission of substrate between this vacuum environment transfer chamber and this laboratory environment transfer chamber, this second load lock chamber is configured to work under the vacuum environment that is lower than 1 holder air pressure, or works under the laboratory environment.
  11. 11. integrated system according to claim 7 is characterized in that, wherein at least one all works in less than contacting with restricting substrate and oxygen under the 1 holder air pressure with this vacuum environment transfer chamber coupled vacuum environment processing module this vacuum environment transfer chamber with this.
  12. 12. integrated system according to claim 7, it is characterized in that wherein at least one all is full of one or more rare gas elementes of selecting contacting with restricting substrate and oxygen with this controllable environment transfer chamber coupled controllable environment processing module to this controllable environment transfer chamber from one group of rare gas element with this.
  13. 13. integrated system according to claim 7 is characterized in that, wherein this substrate is transmitted and handles in this integrated system, the time that contacts with oxygen with restricting substrate.
  14. 14. integrated system according to claim 13 is characterized in that, wherein limits this substrate and has reduced the sensitive time of deposition reaction and strengthened the selective deposition of cobalt-base alloy material thin-layer on the copper surface with contacting of oxygen.
  15. 15. integrated system according to claim 7 is characterized in that, wherein this at least one and this controllable environment transport module coupled processing module make this substrate can carry out driedly advancing/do processing, wherein this substrate is sent into and is sent with the state of doing.
  16. 16. one kind in integrated system, substrate surface done anticipates, fill copper wire structures on the substrate with the metal refining barrier layer, and on this metal barrier layer the brilliant thin layer of deposited copper kind, thereby promote the method for the electronic migration of this copper wiring, it is characterized in that this method comprises:
    In integrated system, the exposed surface of cleaning underlying metal is to remove surface metal oxide, and wherein this underlying metal is a part that is electrically connected at the bottom wiring of this copper wiring;
    In integrated system, deposit this metal barrier layer to fill this copper wire structures, wherein after having deposited this metal barrier layer, in controllable environment, transmit and handle this substrate to avoid the formation of metallic barrier oxide compound;
    In integrated system, deposit the brilliant thin layer of this copper kind; And
    In integrated system, deposited copper packing layer on the brilliant thin layer of this copper kind.
  17. 17. method according to claim 16 is characterized in that, also comprises:
    In integrated system, reduce the surface of this metal barrier layer with the metallic barrier oxygenate conversion with this metallic barrier laminar surface, thereby make this metal barrier layer surface metal enrichment, wherein reduce this metallic barrier laminar surface be the cleaning this underlying metal exposed surface after carry out.
  18. 18. method according to claim 16 is characterized in that, wherein this copper wiring comprises the metal line that covers through hole, and this bottom wiring comprises metal line.
  19. 19. method according to claim 16 is characterized in that, the exposed surface that wherein cleans this surface metal oxide is to finish by one of plasma process that uses the Ar sputtering technology or use fluoro-gas.
  20. 20. method according to claim 16 is characterized in that, wherein deposits this metal barrier layer and also comprises:
    Deposit first metal barrier layer; And
    Deposit second metal barrier layer.
  21. 21. method according to claim 16, it is characterized in that, wherein this substrate be in controllable environment, transmit and handle preventing the formation of metallic barrier oxide compound, and make and can optionally deposit the brilliant thin layer of this copper kind to promote the electric migration performance of copper wiring.
  22. 22. method according to claim 16 is characterized in that, wherein this substrate is transmitted and handles in this integrated system, the time that contacts with oxygen with restricting substrate.
  23. 23. one kind in integrated system, the metallic barrier surface of substrate done anticipate, with at the brilliant thin layer of the metal barrier layer copper-depositing on surface kind of this copper wire structures, thereby promote the method for the electric migration performance of this copper wire structures, it is characterized in that this method comprises:
    In integrated system, reduce the surface of this metal barrier layer to transform the metallic barrier oxide compound of this metallic barrier laminar surface, so that the surface metal enrichment of this metal barrier layer;
    In integrated system, deposit the brilliant thin layer of this copper kind; And
    In integrated system, deposition is with the copper packing layer on the brilliant thin layer of this copper kind.
  24. 24. a treatment substrate under controllable environment, thus make and can it is characterized in that this integrated system comprises at the integrated system of the brilliant thin layer of metal barrier layer copper-depositing on surface kind of copper wiring:
    The laboratory environment transfer chamber, can with substrate from this laboratory environment transfer chamber coupled substrate box in be conveyed into integrated system;
    The vacuum environment transfer chamber works under the vacuum environment that is lower than 1 holder air pressure, and the coupling of at least one vacuum process module and this vacuum environment transfer chamber is wherein arranged;
    The vacuum environment processing module, in this integrated system, the exposed surface of metal oxide in order to the cleaning underlying metal, wherein this underlying metal is the part of bottom wiring, this copper wiring electrically connects with this bottom wiring, wherein this vacuum environment processing module that is used for cleaning is this at least one and of this vacuum environment transfer chamber coupled vacuum environment processing module, and works under the vacuum environment that is lower than 1 holder air pressure;
    The vacuum environment processing module, in order to deposit this metal barrier layer, wherein this vacuum environment processing module that is used for depositing this metal barrier layer is this at least one and of this vacuum environment transfer chamber coupled vacuum environment processing module, and works under the vacuum environment that is lower than 1 holder air pressure;
    The controllable environment transfer chamber is full of the rare gas element of selecting from one group of rare gas element, the coupling of at least one controllable environment processing module and this controllable environment transfer chamber is wherein arranged; And
    The non-electrical depositing operation module of copper, in order at the brilliant thin layer of this copper kind of metal barrier layer surface deposition, wherein the non-electrical depositing operation module of this copper be this at least one and this controllable environment transfer chamber coupled controllable environment processing module in one.
  25. 25. integrated system according to claim 24, it is characterized in that, also comprise: hydrogeneous reducing process module, in order to reduce the metal oxide or the metal nitride on this metallic barrier surface, wherein this hydrogeneous reducing process module and this vacuum environment transfer chamber coupling, this hydrogeneous reducing process module work under the vacuum environment that is lower than 1 holder air pressure.
  26. 26. integrated system according to claim 24, it is characterized in that, also comprise: first load lock chamber, with this vacuum environment transfer chamber and the coupling of this controllable environment transfer chamber, wherein this first load lock chamber assists substrate to transmit between this vacuum environment transfer chamber and this controllable environment transfer chamber, this first load lock chamber is configured to work under the vacuum environment that is lower than 1 holder air pressure, or is full of the rare gas element of selecting from one group of rare gas element; And
    Second load lock chamber, with this vacuum environment transfer chamber and the coupling of this laboratory environment transfer chamber, wherein this second load lock chamber assists substrate to transmit between this vacuum environment transfer chamber and this laboratory environment transfer chamber, this second load lock chamber is configured to work under the vacuum environment that is lower than 1 holder air pressure or under the experimental situation, or is full of the rare gas element of selecting from one group of rare gas element.
  27. 27. integrated system according to claim 24 is characterized in that, wherein this vacuum transfer and at least one and this vacuum transfer coupled vacuum process module work in and are lower than under the 1 holder air pressure, with contacting of control basal plate and oxygen.
  28. 28. integrated system according to claim 24, it is characterized in that wherein at least one all is full of one or more rare gas elementes of selecting contacting with control basal plate and oxygen with this controllable environment transfer chamber coupled processing module to this controllable environment transfer chamber from one group of rare gas element with this.
  29. 29. integrated system according to claim 24, it is characterized in that, wherein this at least one and this controllable environment transport module coupled processing module make substrate can carry out driedly advancing/do processing, wherein this at least one processing module is sent into and sent to this substrate with the state of doing.
  30. 30. a treatment substrate under controllable environment, thus make and can it is characterized in that this integrated system comprises at the integrated system of the brilliant thin layer of barrier layer copper-depositing on surface kind of copper wiring:
    The laboratory environment transfer chamber, can with substrate from this laboratory environment transfer chamber coupled substrate box in be conveyed into integrated system;
    The vacuum environment transfer chamber works under the vacuum environment that is lower than 1 holder air pressure, and the coupling of at least one vacuum process module and this vacuum environment transfer chamber is wherein arranged;
    The vacuum environment processing module, in order to reduce this metal barrier layer, wherein this this vacuum environment processing module that is used for reducing this metal barrier layer be this at least one and this vacuum environment transfer chamber coupled vacuum environment processing module in one, and work under the vacuum environment that is lower than 1 holder air pressure;
    Controllable environment transfer chamber, inside are full of the rare gas element of selecting from one group of rare gas element, the coupling of at least one controllable environment processing module and this controllable environment transfer chamber is wherein arranged; And the non-electrical depositing operation module of copper, in order at the brilliant thin layer of this copper kind of metal barrier layer surface deposition, wherein the non-electrical depositing operation module of this copper be this at least one and this controllable environment transfer chamber coupled controllable environment processing module in one.
  31. 31. one kind in integrated system, substrate surface done anticipates, with depositing metal layers optionally on the silicon of substrate or polysilicon surface, thereby form the method for metal silicide, it is characterized in that this method comprises:
    In integrated system, remove the organic pollutant of substrate surface;
    In integrated system, after removing organic pollutant, reduce this silicon or polysilicon surface, be reduced to silicon with oxide compound with the silicon of this silicon or polysilicon surface, wherein reduced behind silicon or the polysilicon surface, carry out the transmission of substrate and handle formation with the oxide compound that prevents silicon in controllable environment, reduction silicon or polysilicon surface are to strengthen the metal selective of silicon face; And
    In integrated system, behind reduction silicon or the polysilicon surface, at silicon or this metal level of polysilicon surface selective deposition of substrate.
  32. 32. method according to claim 31 is characterized in that, also comprises:
    In integrated system, after this metal level of silicon face selective deposition, form metal silicide.
  33. 33. method according to claim 31 is characterized in that, also comprises:
    In integrated system, before the reduction silicon face, remove the metal pollutant of substrate surface.
  34. 34. method according to claim 31 is characterized in that, the hydrogeneous plasma deoxidization of this silicon or polysilicon surface wherein, and this contains hydrogen plasma is by hydrogen (H 2), ammonia (NH 3) or the mixture of two kinds of gases generate.
  35. 35. method according to claim 31 is characterized in that, wherein this metal is selected from Ni or Co.
  36. 36. method according to claim 31, it is characterized in that, in integrated system, by in vacuum environment or be full of to transmit in the environment of rare gas element with handling and reduce with control basal plate and contacting of oxygen after the silicon face, this substrate transmits and handles under controllable environment.
  37. 37. method according to claim 32 is characterized in that, wherein this metal silicide reacts in (RTP) system a Rapid Thermal and generates.
  38. 38. a treatment substrate under controllable environment, thus make and can to form the integrated system of metal silicide, it is characterized in that this integrated system comprises at the silicon face of substrate depositing metal layers optionally:
    The laboratory environment transfer chamber, can with substrate from this laboratory environment transfer chamber coupled substrate box in be conveyed into integrated system;
    The vacuum environment transfer chamber works under the vacuum environment that is lower than 1 holder air pressure, and the coupling of at least one vacuum process module and this vacuum environment transfer chamber is wherein arranged;
    The vacuum environment processing module, in order to remove the organic pollutant of substrate surface, wherein this usefulness remove this vacuum environment processing module of organic pollutant be this at least one and this vacuum environment transfer chamber coupled vacuum environment processing module in one, and work under the vacuum environment that is lower than 1 holder air pressure;
    The vacuum environment treatment chamber, in order to the reduction silicon face, wherein this this vacuum environment processing module that is used for reducing silicon face be this at least one and this vacuum environment transfer chamber coupled vacuum environment processing module in one, and work under the vacuum environment that is lower than 1 holder air pressure; Controllable environment transfer chamber, inside are full of the rare gas element of selecting from one group of rare gas element, and at least one controllable environment processing module and the coupling of this controllable environment transfer chamber are arranged; And
    The non-electrical depositing operation module of metal, in order to reduce after the silicon face, at silicon face metal refining thin layer optionally, the non-electrical depositing operation module of this metal be this at least one and this controllable environment transfer chamber coupled controllable environment processing module in one.
  39. 39., it is characterized in that wherein this vacuum environment treatment chamber that is used for forming metal silicide is the RTP chamber according to the described integrated system of claim 38.
  40. 40. according to the described integrated system of claim 38, it is characterized in that wherein at least one all is full of one or more rare gas elementes of selecting contacting with control basal plate and oxygen with this controllable environment transfer chamber coupled controllable environment processing module to this controllable environment transfer chamber from one group of rare gas element with this.
CN200780032409.XA 2006-08-30 2007-08-17 Processes and integrated systems for engineering a substrate surface for metal deposition Active CN101558186B (en)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US11/513,446 US8747960B2 (en) 2005-08-31 2006-08-30 Processes and systems for engineering a silicon-type surface for selective metal deposition to form a metal silicide
US11/513,634 US8771804B2 (en) 2005-08-31 2006-08-30 Processes and systems for engineering a copper surface for selective metal deposition
US11/513,634 2006-08-30
US11/514,038 2006-08-30
US11/514,038 US8241701B2 (en) 2005-08-31 2006-08-30 Processes and systems for engineering a barrier surface for copper deposition
US11/513,446 2006-08-30
PCT/US2007/018270 WO2008027216A2 (en) 2006-08-30 2007-08-17 Processes and integrated systems for engineering a substrate surface for metal deposition

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN201310011701.0A Division CN103107120B (en) 2006-08-30 2007-08-17 Substrate surface is anticipated the technique and the integrated system that carry out metal deposit

Publications (2)

Publication Number Publication Date
CN101558186A true CN101558186A (en) 2009-10-14
CN101558186B CN101558186B (en) 2015-01-14

Family

ID=41202298

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201310011701.0A Active CN103107120B (en) 2006-08-30 2007-08-17 Substrate surface is anticipated the technique and the integrated system that carry out metal deposit
CN200780032409.XA Active CN101558186B (en) 2006-08-30 2007-08-17 Processes and integrated systems for engineering a substrate surface for metal deposition

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN201310011701.0A Active CN103107120B (en) 2006-08-30 2007-08-17 Substrate surface is anticipated the technique and the integrated system that carry out metal deposit

Country Status (5)

Country Link
JP (2) JP5489717B2 (en)
CN (2) CN103107120B (en)
MY (2) MY171542A (en)
SG (1) SG174752A1 (en)
TW (1) TWI393186B (en)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102468265A (en) * 2010-11-01 2012-05-23 中芯国际集成电路制造(上海)有限公司 Connection plug and manufacturing method thereof
CN103887227A (en) * 2012-12-20 2014-06-25 朗姆研究公司 Porous dielectrics k value restoration by thermal treatment and/or solvent treatment
CN104347349A (en) * 2013-07-24 2015-02-11 台湾积体电路制造股份有限公司 Mechanisms for cleaning substrate surface for hybrid bonding
CN105575798A (en) * 2014-10-29 2016-05-11 应用材料公司 System and method for removing contamination from surface of seed layer
CN105682856A (en) * 2013-10-22 2016-06-15 东曹Smd有限公司 Optimized textured surfaces and methods of optimizing

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090269507A1 (en) 2008-04-29 2009-10-29 Sang-Ho Yu Selective cobalt deposition on copper surfaces
US8227344B2 (en) * 2010-02-26 2012-07-24 Tokyo Electron Limited Hybrid in-situ dry cleaning of oxidized surface layers
JP2012054306A (en) * 2010-08-31 2012-03-15 Tokyo Electron Ltd Manufacturing method of semiconductor device
CN103081089A (en) * 2010-08-31 2013-05-01 东京毅力科创株式会社 Method for manufacturing semiconductor device
JP5560144B2 (en) * 2010-08-31 2014-07-23 東京エレクトロン株式会社 Manufacturing method of semiconductor device
JP2017520109A (en) * 2014-06-16 2017-07-20 インテル・コーポレーション Selective diffusion barrier between metals in integrated circuit devices
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
WO2018063815A1 (en) 2016-10-02 2018-04-05 Applied Materials, Inc. Doped selective metal caps to improve copper electromigration with ruthenium liner
JP6842159B2 (en) * 2016-12-13 2021-03-17 サムコ株式会社 Plasma processing method
US10438846B2 (en) 2017-11-28 2019-10-08 Taiwan Semiconductor Manufacturing Co., Ltd. Physical vapor deposition process for semiconductor interconnection structures
JP2019192892A (en) 2018-04-18 2019-10-31 東京エレクトロン株式会社 Processing system and processing method
WO2020118100A1 (en) 2018-12-05 2020-06-11 Lam Research Corporation Void free low stress fill
KR102301933B1 (en) * 2018-12-26 2021-09-15 한양대학교 에리카산학협력단 Fabricating method of Semiconductor device
TW202117075A (en) * 2019-09-25 2021-05-01 日商東京威力科創股份有限公司 Substrate liquid treatment method and substrate liquid treatment device

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6017820A (en) * 1998-07-17 2000-01-25 Cutek Research, Inc. Integrated vacuum and plating cluster system
US6144099A (en) * 1999-03-30 2000-11-07 Advanced Micro Devices, Inc. Semiconductor metalization barrier
US6365516B1 (en) * 2000-01-14 2002-04-02 Advanced Micro Devices, Inc. Advanced cobalt silicidation with in-situ hydrogen plasma clean
JP2001355074A (en) * 2000-04-10 2001-12-25 Sony Corp Electroless plating method, and apparatus thereof
CN1216415C (en) * 2000-04-25 2005-08-24 东京毅力科创株式会社 Method of depositing metal film and metal deposition cluster including supercritical drying/cleaning module
JP2001326192A (en) * 2000-05-16 2001-11-22 Applied Materials Inc Film-forming method and film-forming device
US6475893B2 (en) * 2001-03-30 2002-11-05 International Business Machines Corporation Method for improved fabrication of salicide structures
JP2003034876A (en) * 2001-05-11 2003-02-07 Ebara Corp Catalytic treatment liquid and method for electroless plating
US7049226B2 (en) * 2001-09-26 2006-05-23 Applied Materials, Inc. Integration of ALD tantalum nitride for copper metallization
JP2003142579A (en) * 2001-11-07 2003-05-16 Hitachi Ltd Semiconductor device and method for manufacturing the same
US7008872B2 (en) * 2002-05-03 2006-03-07 Intel Corporation Use of conductive electrolessly deposited etch stop layers, liner layers and via plugs in interconnect structures
US6875693B1 (en) * 2003-03-26 2005-04-05 Lsi Logic Corporation Via and metal line interface capable of reducing the incidence of electro-migration induced voids
JP2004363155A (en) * 2003-06-02 2004-12-24 Ebara Corp Method and device for manufacturing semiconductor device
JP2005116630A (en) * 2003-10-03 2005-04-28 Ebara Corp Wiring forming method and apparatus thereof
JP2007042662A (en) * 2003-10-20 2007-02-15 Renesas Technology Corp Semiconductor device
US20050095855A1 (en) * 2003-11-05 2005-05-05 D'urso John J. Compositions and methods for the electroless deposition of NiFe on a work piece
JP4503356B2 (en) * 2004-06-02 2010-07-14 東京エレクトロン株式会社 Substrate processing method and semiconductor device manufacturing method

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102468265A (en) * 2010-11-01 2012-05-23 中芯国际集成电路制造(上海)有限公司 Connection plug and manufacturing method thereof
CN103887227A (en) * 2012-12-20 2014-06-25 朗姆研究公司 Porous dielectrics k value restoration by thermal treatment and/or solvent treatment
CN104347349A (en) * 2013-07-24 2015-02-11 台湾积体电路制造股份有限公司 Mechanisms for cleaning substrate surface for hybrid bonding
CN104347349B (en) * 2013-07-24 2018-03-09 台湾积体电路制造股份有限公司 Clean the mechanism of the substrate surface for mixing engagement
US10727097B2 (en) 2013-07-24 2020-07-28 Taiwan Semiconductor Manufacturing Co., Ltd. Mechanisms for cleaning substrate surface for hybrid bonding
CN105682856A (en) * 2013-10-22 2016-06-15 东曹Smd有限公司 Optimized textured surfaces and methods of optimizing
US10792788B2 (en) 2013-10-22 2020-10-06 Tosoh Smd, Inc. Optimized textured surfaces and methods of optimizing
CN105575798A (en) * 2014-10-29 2016-05-11 应用材料公司 System and method for removing contamination from surface of seed layer
TWI739730B (en) * 2014-10-29 2021-09-21 美商應用材料股份有限公司 Systems and methods for removing contamination from seed layer surface

Also Published As

Publication number Publication date
TW200832556A (en) 2008-08-01
SG174752A1 (en) 2011-10-28
MY148605A (en) 2013-05-15
CN101558186B (en) 2015-01-14
CN103107120A (en) 2013-05-15
JP2014099627A (en) 2014-05-29
TWI393186B (en) 2013-04-11
MY171542A (en) 2019-10-17
CN103107120B (en) 2016-06-08
JP5820870B2 (en) 2015-11-24
JP5489717B2 (en) 2014-05-14
JP2010503205A (en) 2010-01-28

Similar Documents

Publication Publication Date Title
CN103107120B (en) Substrate surface is anticipated the technique and the integrated system that carry out metal deposit
US8241701B2 (en) Processes and systems for engineering a barrier surface for copper deposition
US8747960B2 (en) Processes and systems for engineering a silicon-type surface for selective metal deposition to form a metal silicide
US20140322446A1 (en) Processes and systems for engineering a copper surface for selective metal deposition
TWI591761B (en) Selective capping of metal interconnect lines during air gap formation
TWI541938B (en) Metal and silicon containing capping layers for interconnects
US6656831B1 (en) Plasma-enhanced chemical vapor deposition of a metal nitride layer
KR101214704B1 (en) Film forming method and processing system
EP2259303B1 (en) Interfacial capping layers for interconnects
US7205228B2 (en) Selective metal encapsulation schemes
US8008184B2 (en) Semiconductor device manufacturing method, semiconductor manufacturing apparatus and storage medium
US20080057198A1 (en) Methods and apparatus for barrier interface preparation of copper interconnect
TW200952081A (en) Semiconductor device manufacturing method, semiconductor manufacturing apparatus and storage medium
CN102061469B (en) For the method and system of barrier layer surface passivation
JP7368394B2 (en) Zincating and doping of metal liners for liner passivation and improved adhesion
JPWO2007020684A1 (en) Semiconductor integrated circuit device and manufacturing method thereof
KR101506352B1 (en) Processes and integrated systems for engineering a substrate surface for metal deposition
JP2022530804A (en) Protection of seed layer during metal electrodeposition in semiconductor device manufacturing
CN1149654C (en) Method and structure for contact to copper metallization in insulating via on semiconductor
WO2006073140A1 (en) Substrate processing method and apparatus
CN1917169A (en) Method for forming barrier layer on copper metal without use of electrodeposition

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant