TWI376005B - Advanced process sensing and control using near infrared spectral reflectometry - Google Patents

Advanced process sensing and control using near infrared spectral reflectometry Download PDF

Info

Publication number
TWI376005B
TWI376005B TW098106582A TW98106582A TWI376005B TW I376005 B TWI376005 B TW I376005B TW 098106582 A TW098106582 A TW 098106582A TW 98106582 A TW98106582 A TW 98106582A TW I376005 B TWI376005 B TW I376005B
Authority
TW
Taiwan
Prior art keywords
fibers
substrate
signal
fiber
source
Prior art date
Application number
TW098106582A
Other languages
English (en)
Other versions
TW200943459A (en
Inventor
Matthew Fenton Davis
Lei Lian
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW200943459A publication Critical patent/TW200943459A/zh
Application granted granted Critical
Publication of TWI376005B publication Critical patent/TWI376005B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/62Systems in which the material investigated is excited whereby it emits light or causes a change in wavelength of the incident light
    • G01N21/71Systems in which the material investigated is excited whereby it emits light or causes a change in wavelength of the incident light thermally excited
    • G01N21/73Systems in which the material investigated is excited whereby it emits light or causes a change in wavelength of the incident light thermally excited using plasma burners or torches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • H01J37/32972Spectral analysis
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/04Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings formed by bundles of fibres
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/24Coupling light guides
    • G02B6/36Mechanical coupling means
    • G02B6/40Mechanical coupling means having fibre bundle mating means

Description

1376005 六、發明說明: 【發明所屬之技術領域】 本發明實施方式大致與在基材上製造電子元件之製程相 關,更明確地,是關於監控一電子元件製造過程中之製程參數。 【先前技術】 對於更快速、功能更強大之積體電路(integrated circuit,1C) 的需求,對1C製造技術而言是一項新的挑戰,包括必須蝕刻 出高深寬比之特徵結構例如,在基材(如,半導體晶圓)上之溝 槽及通孔。舉例而言,用於某些動態隨機存取記憶體應用中的 深溝槽儲存結構,需要在半導體基材中蝕刻出較深的深寬比溝 槽。深的石夕溝槽独刻通常是在反應性離子姓刻(reactive ion etching, RIE)製程中使用氧化矽為遮罩來進行。 在半導體晶圓中蝕刻高深寬比特徵結構,並呈現穩健性 能的習知系統為APPLIED CENTURA HARTtm蝕刻系統,以及 解柄電漿源系統(Decoupled Plasma Source,DPS®),其可由 Applied Materials 公司獲得(位於 Santa Clara, California)。 HARTtm蝕刻系統配備有能夠蝕刻溝槽深寬比高至70:1的 MERIE反應器,同時維持溝槽深度之均勻性(由中心至邊緣)在 5百分比。然而,為了能夠製造低於90nm之關鍵尺寸的積體電 3 l376〇〇5 路’電路設計者甚至提出改善更高深寬*溝槽之均句性的需 求。因此,改善蝕刻性能有助於實現下—世代元件。 為了因應這些挑戰,必須改善監控晶圓上的製程參數,例 如製程中晶圓的溫度及特徵結構的深度或尺寸。通常,習知的 量測技術及裝置包括設在晶圓附近的熱電偶或探針,例如高溫 計㈣。_叫或可監測基材溫度的其他探針。其他習知技術包 括散射量測學、光發射光譜學'雷射干涉量測法及其類似技 術’或者習知對時間域及/或頻率域之量測,以便於決定钱刻製 程終點。在某些例子中,這些f知的程序可以提供有用的結 果,但是當溝槽深度、深寬比、以及關鍵尺寸不斷增加時,可 能使習知的量測技術無法用於下一世代製程。 因此,為了提供精韻即時的製程參數之量測資訊必須 要改良裝置及方法。 【發明内容】 在此所述之實施例提供一種在使用電漿之基材製造製程 中獲得製程資訊之方法及裝置。 在一貫施例中,揭示一種製程腔室。該製程腔室包括一具 有處理工間之腔至主體組件;一喷頭組件,與該腔室主體之 一頂蓋耦接,且具有一可傳遞一光學量測訊號之區域;一光學 監控兀件,配置在該腔室主體之一徑向位置處,用以觀察該處 理空間;以及一光譜感測系統,配置在垂直於該嘴頭平面的角 4
1376005 V 度上,經由該脅独件之該可傳遞區,以觀察該腔室主體之該 處理空間。 在另實轭例中,揭示一光纖纜束,耦接於一輻射源以及 光碏儀。此光纖纜包括有一第一部,包括至少一源光纖;一 第-部括複數個第—源光纖以及與該輕射源呈訊號連通狀 之複數個第-訊號域,其中該複數個第—源光纖之—部分係 相對於該複數個第一訊號光纖,間隔一段距離;以及一第三 部,其包括複數個第二源光纖、複數個第二訊號光纖、以及複 數個被動光纖(inactive fibers) 〇 在另一實拖例中,揭示處理一基材的方法。此方法包括蝕 刻一基材,該基材位在一蝕刻腔室内之一基材支撐件上,該基 材在有一電漿存在時,經由一圖案化遮罩層而被蝕刻;引入光 能量至該電漿中,並引導朝向該基材;收集來自電漿之一第一 訊號以及一第二訊號;經由一光纖束繞送該第一訊號至一偵測 器’以及回應該收集之訊號而控制該蝕刻製程。 在另一貫施例中,揭示一光纖規束,其耦接至一輻射源以 及至少一光譜儀。此光纖纜束包括複數個光纖捆成一束,該束 包括一第一部’其包括至少一源光纖,且該源光纖具有與該輻 射源耦接之一第一端以及用以引導來自該輻射源之輻射進入 一製程腔室之一第二端;一第二部,包括複數個第一回傳光纖 (first return fibers),其具有可與該至少一光譜儀呈訊號連通狀 之一第一端以及用以接收源自該製程腔室之光學訊號之一第 5 1376005 二端;以及一包括有複數個被動光纖之第三部其中該第二部 以及該第三部是配置在—共同半徑(e_Qnradius)上且每一 源光纖沿著該共同半徑以該些回傳光纖中至少—者、或以該些 被動光纖中至少—者、或以該回傳光纖與該被動光纖兩者而 彼此分隔。 在另貫知例中,揭示處理一基材的方法。此方法包括餘 刻一基材’該基材位在一蝕刻腔室内之一基材支撐件上,當有 一電漿存在時,可經由一圖案化遮罩層而蝕刻該基材;引入光 月&里至該電漿中,並引導其朝向該基材;收集來自該電漿之一 第一訊號以及一第二訊號;經由一光纖束繞送該第一訊號至一 偵測器’其中該光纖纜束包括一第一部,其包括至少一源光 纖’且該源光纖具有一耦接於一輻射源之第一端以及用以引導 來自該輻射源之輻射進入一製程腔室之一第二端;一第二部, 包括複數個第一回傳光纖,其具有與該至少一光譜儀呈訊號連 通狀之一第一端以及用以接收源自該製程腔寘之光學訊號之 一第二端;以及一包括有複數個被動光纖之第三部,其中該第 二部以及該第三部是配置在一共同半徑上,且每一源光纖在沿 著該共同半徑上以該些回傳光纖中至少一者、或以該些被動光 纖中至少一者、或以該回傳光纖及被動光纖兩者而彼此分隔, 以及回應該收集之訊號而控制該蝕刻製程。 在另一實施例中,提供一電腦可讀媒體以控制一蝕刻製 程。在一實施例中,電腦可讀媒體包含多數指令,當該些指令 6 1376005 被製程系統所執行時,可控制在該製程系統中進行之一蝕刻 製程’該蝕刻製程包括蝕刻一基材,該基材位在一製程系統之 基材支撐件上,當有一電漿存在時,經由一圖案化遮罩層而 蝕刻該基材,紐由該電漿,將光能量導向譚基材;收集來自該 電漿之一第一訊號以及一第二訊號;經由一光纖束,繞送該第 訊號至一偵測器;以及回應該收集之訊號而控制該蝕刻製 程。該光纖纜東包括一第一部,其包括至少一源光纖,且該源 光纖具有與一輻射源耦接之一第一端以及用來引導來自該輻 射源之輻射進入一製程腔室之一第二端;一第二部,包括複數 個第一回傳光纖,其具有與該至少一光譜儀呈訊號連通狀之一 第一端以及用以接收源自該製程腔室之光學訊號之一第二 端,以及一包括有複數個被動光纖之第三部,其中該第二部以 及^第二部是位在一共同半徑上,且每一源光纖在沿著該共半 技上以該些回傳光纖中至少一者、或以該些被動光纖中至少一 者、或以該回傳光纖及該被動光纖兩者而彼此分隔。 【實施方式】 在此敘述的實施例是示範性地敘述在一钱刻腔室内進行 的姓刻製程’應理解在此敘述的態樣可以使用在其他的腔室及 製程中。示例包括有沈積腔室,例如磊晶沈積腔室、化學氣相 沈積(Chemical vapor deposition, CVD)腔室、電漿輔助化學氣相 沈積(plasma enhanced chemical vapor depositin,PECVD)腔室、 7 Ι376Ό05 物理氣相沈積(physical vapor deposition, PVD)腔室及其類似的 腔室。其他的製程包括電漿處理以及快速熱處理(rapid —
Passing,RTP)腔室、其他製程中之利用高溫及/或有需要即時 監控製程參數的製程。
第1圖為一示範性製程腔室1〇〇改造為電漿腔室之一實施 例的剖面圖。在-實施例中,製程腔室i⑼是適合㈣基材144 中兩深寬比的待徵結構。製程腔室100包括腔室主體102以及 上蓋104’其構成處理空間1〇6。腔室主體1 通常是由叙、 不鏽鋼或其他適當的材料所製造。腔室主體1〇2實質上包括有 多個側壁1G8以及-底部UG。基材存取埠(未圖示)—般是設置 在該些側壁108上’並以—流量閥選擇性地加以密封以幫助 由處理空間1G6中的基材支稽組件148傳出及傳入基材144。 排放埠 126設置在腔室主體1〇2中用以將處理空間1〇6耦接到 -泵系統。泵系統大致包括一或多個泵及節流閥,用以排空及 調節製程腔室100之處理空間1〇6中之壓力。在一實施例中, 录系統維持處理空間106之塵力在約1〇mT〇rr至約2〇丁抓的 通常操作壓力之間。襯墊112連接於側壁1〇8之内表面以保護 製程腔室議之内部。襯势112也可以配置在部分的基材支標 組件148上。 基材支撐組件〗48是配置於製程腔室1〇〇之處理空間ι〇6 的喷頭組# 13G下方’且用以在製程持基材144。基材支 撐組件148上之基材接收表面的平面實質上平行於喷頭組件 8 1376005 130的平φ 4¾知的方法中,基材支標組件⑷a致包括有 複數個舉升銷(未圖示),配置成可將基材從支撑组件148上舉 •起,並有助於依傳統方式以機械手臂(未圖示).交換基材144。 . ㈣器15G耗接於製程腔t 1GG。控制器15G &括t央處 理單元(central processing unh,cpu)、複數個輸入/輸出 (mput/0utput,I/0)元件、支援電路(例如電源供應器時脈電路 (cl〇Ckdrcuits)、匯流排控制器㈣⑶此。丨1叫高速緩衝存健 •器—Μ及其類似物)' 唯讀記憶體(咖“叫_ory, ROM) 以及隨機存取記憶體(rand〇m access mem〇ry,RAM)。蝕刻製程 的指令(如下所述)可以儲存在控制器15〇内之電腦可讀取的媒 體上’並以控制器150來執行。 在一實施例中,基材支撐組件148包括一裝配平台162、 一基底164、一靜電卡盤166。裝配平台162耦接於腔室主體 102之底部110,包括多個通道,該等通道的用途之一即用來將 鲁下例各者繞送至基底⑹及卡盤⑽,諸如:流體電力線及 感測引線。至少一基底164或卡盤166可包括有至少一非必要 的嵌埋加熱器176以及複數個槽,以控制支撐組件148的側向 (lateral)溫度分佈。在此實施例中,如第i圖所示設置兩槽 168、170於基底164中,而電阻式加熱器176配置在卡盤166 内。槽168、170及加熱器176係用以控制基底164的溫度, 藉此加熱及/或冷卻靜電卡盤166,並因此至少能部分地控制配 置在靜電卡盤166上的基材144之溫度。 9 Γ376005 上蓋104由在腔室主體i〇2之側壁108密封地支擇,且上 蓋可以打開而得以進入製程腔室100的處理空間1〇6中。上蓋 . 104包括視窗142,其有助於以光學方式進行製程監控。在一 • 實施例中,視窗142是由藍寶石、石英或其他適當的材料所構 成’其可傳遞光學監控系統140所使用的光學訊號。 製程腔室100包括至少一光學量測模組,例如光學監控系 統140及/或光學監控元件128〇光學監控系統ι4〇及光學監控 Φ 元件128兩者設置在可觀察或接近腔室主體102之處理空間 106及基材144兩者或至少其一的位置上。在一應用中,光學 監控系統140所分析的光學訊號提供製程調整所需的資訊,藉 以能補償進入圖案之不一致性(例如關鍵尺寸(crhical dimensions, CD’s)、臈厚、結構的寬度/維度、及其類似性質)、 且提供製程狀痣的監控(例如電漿的監控、溫度監控、CD,s、及 其類似)及/或其他功能中的終點偵測。 • 光學監控元件128亦包括有視窗127,而視窗127大致上 徑向地配置在相對於基材支撐組件148的腔室主體1〇2上,且 可作為光學放射光譜(optical emissi〇n spectrum,〇ES)監控器之 用。在製程腔室loo内之其他光學特性中,此光學監控元件i28 可設定成用以監控電漿狀態'監控腔室匹配的程度、監控腔室 故障的來源之。在此敘述之實施例可採用的光學監控工具為 EyeD®全光譜干涉量測儀模組’可* Appiied胸“油公司 (Santa Clara J國加州)取得。因此,光學監控系统i4〇及光學 1376005 監控元件128兩者或其一能提供關於圖案的不一致、製程狀態 之監控、及/或終點偵測的訊息,可以由相對於棊材i 44平面呈 • 垂直及側向配置的有利位置而監控上述訊息。 . 喷頭組件130耦接於上蓋1〇4之内部表面。喷頭組件13〇 包括多數個孔135,該些孔135是以跨過腔室1〇〇内之待處理 基材144表面之預定的方式分佈,使氣體由入口埠132經喷頭 組件130進入製程腔室100的處理—空間1〇6。喷頭組件另 • 外包括有一可傳遞光學量測訊號之區域。噴頭組件13〇中之光 學上可傳遞區域或通道138是適合讓光學監控系統14〇觀察處 理空間106及/或位於基材支撐組件148上的基材144。通道138 可以是形成或配置在喷頭組件130上的材料、孔、或多數個孔, 喷頭組件130本質上是可傳遞光學量測系統14〇所產生的、以 及反射回光學量測系統的能量波長。通道丨3 8配置在實質上垂 直基材144平面的位置。在一實施例中,通道138包括平板 • 143,以保護視窗142免於處理空間1〇6内的嚴峻環境。平板 143可為一藍寳石材料、石英材料、以及例如氧化釔(γ2〇3)之 光學陶究或其他適當的材料。或者也可將平板143配置在介於 視窗142以及處理空間ι〇6間之上蓋1〇4中。 在一實施例中,喷頭組件130被設置成含有複數個區域, 用以分別控制流進製程腔室1 〇〇之處理空間丨〇6中的氣體。在 第1圖的實施例中’喷頭組件130具有分別耦接於氣體面板之 一内部區以及一外部區’而氣體面板耦接於製程腔室1 〇〇並經 1376005 由個別的人W、132,,提供製程及/或清洗氣體至處理空間 106。氣體面板㈣於氣體源(未圖示)以供應製程氣體或載氣進 入入口埠。製程氣體的例子包括有Sicl4、職、NF3、02以及 對於製程是惰性且非
SiF4等等。載氣的例子包括N2、He、Ar、 反應性的其他種氣體。 在實施例巾A學監控系统i 4〇㊣夠量測製程中(於電紫 處理過程中)及/或製程外(於電漿處理之前或之後)的CD、、膜 # 厚、以及電毁待性。光學監控系統14〇可以使用一或多個非破 壞性光學量測技術,例如光譜學、干涉量測學、散射量測學、 反射里測學及其他相似技術。舉例而言,可裝設光學監控系統 140以進行干涉量測監控技術(例如,在時間域⑴中 計算干涉條紋、在頻率域中量測條紋的位置、及其類似),用以 即時量測基材144上形成的結構外觀的蝕刻深度分佈。對特定 應用之如何使用光學監控的細節已經大致揭露在美國專利申 • 請號^0.^/674,568 (2003年9月29日申請,美國專利公開號 No· 2004/0203177 ’ 2004年1〇月14日公開)以及美國專利號 No. 6,413,837(2002年7月2曰核准),上述兩者在此併為參考 資料。 第2圖為喷頭組件13 0之一實施例的剖面圖。喷頭組件13 〇 大致包括蓋板202、上氣室平板2〇4與下氣室平板2〇6以及氣 體分配面板210。上氣室板與下氣室平板2〇4、2〇6是以空間隔 開的關係而彼此耗接在一起’且配置在形成於蓋板202的凹處 12 1376005 270中,並以此定義喷頭組件13〇的上部結構。定義在平板 204、 206之間的内部區134是以阻障物236流動式地分開成 . 至少兩個區域。在第2圖所繪示的實施例中,阻障物236將内 • 氣室218由外氣室220隔開。 通道138是通過喷頭組件130而形成,其有助於光學監控 系統140監控腔室製程及/或基材特性,且包括一光學傳送元件 205。 通道138 —般大致上位於喷頭組件13〇之幾何中心,且 # 是以形成在蓋板202、上氣室平板204以及下氣室平板206中 的同軸對位孔隙來定義。一般而言,通道138是以大致上垂直 於蓋板202、上氣室平板204、下氣室平板206以及基材144(未 圖示)之組合或其中之一的平面的角度而形成。 在一實施例中’蓋板202以及平板204、206中的每一同 軸對位孔隙適合容納透鏡元件2Π以及栓254,而透鏡元件211 以及栓254是光學傳送元件2〇5的部件。在一實施例中,透鏡 • 元件211是準直器(collimator)的部件,而準直器藉由光纖纜束 215耦接於光學監控系統140。 視窗142是密封地配置在通道138中,以防止氣體經由喷 頭組件130漏到光學監控系統14〇。設置〇型環(並未標示在第 2圖中)將視窗142密封於上氣室平板2〇4及蓋板2〇2。蓋板2〇2 以及形成在蓋板202、上氣室平板2〇4及下氣室平板2〇6間的 通道138的額外細節以及第1圖之製程腔室1〇〇的額外細節可 13 1376005 參照美國專利申請號No. 11/381,523(2006年5月3曰申請), 其在此併為參考資料。 . 栓254設置成可傳遞光學監控系統140所使用的訊號。在 • 一實施例中,栓254包括複數個通道260,通道使光學監控系 統140可與腔室100之處理空間ι〇6形成界面相連接並避免 在通道260中形成電漿。在一實施例中,通道26〇之深寬比(深 度對直徑)至少約為1〇 :丨,例如為14 : 1。在另一實施例中, % 通道260之直徑為小於或等於DEBYE長度及/或電子平均自由 控,舉例而言’小於約1 5 mm,例如為0.9 mm。在另一實施 例中’由通道260所定義的開口面積,佔開口面積比例高達約 60°/。。栓254 —般是由與製程化學性質匹配的材料所製造。在 一貫施例中,栓254是由介電材料所製造,例如陶瓷。在另一 實施例中栓254為鋁。 為了延長喷頭組件130的使用壽命,氣體分配面板21〇至 • 少為紀所製造或塗佈紀或其氧化物。在一實施例中,氣體分配 面板210是由整塊釔或其氧化物所製造,以提供對含氟化學物 貝的耐f生。在其他貫施例中氣體分配面板21 〇是由整塊氧化在乙 (Y2〇3)所製造。 非必要地或額外地’氣體分配面板21〇可以包括有一平板 143,其是可傳遞光學訊號的。平板143可以耦接或固定在氣 體刀配面板210之貫質上的幾何中心。在本實施例中,平板 1 43疋由紀或其氧化物所製造,例如整體的Υ2〇3。 1376005 形成於栓254中的通道260與形成在氣體分配面板2i〇中 的多個孔隙262對準》在使用平板143的應用中,該些孔隙 • 262形成在平扳143中’並實質上與形成在栓254中的通道260 • 對準。該些孔隙262是群聚在氣體分配面板21〇的中心,並具 有適合且有益於通過氣體分配面板210之光學訊號之有效傳遞 的密度、直徑(寬度)、外觀以及開口面積。在一實施例中,孔 隙262的數目與截面外觀與通道260相似❶視窗142使通道260 ^ 及孔隙262對氣體的流動沒有感應,但允許光學上的傳遞。因 此’通道260、孔隙262及視窗142有助於光學監控系統14〇 對腔室100内的光學監控,而無真空損失或不會對用來定義光 學觀察途徑之结構造成電漿損害。 第3圖為光譜感測系統300 —實施例的示意圖,其可與第 1圖的製程腔室1 〇〇 —起使用。光譜感測系統300藉由光纖镜 束215以及光學傳送元件205 (將在第4圖中敘述)而與光學監 • 控系統.140以及腔室100之處理空間106耦接。光譜感測系統 300包括寬頻帶光源325’用以發射出具有紫外_可見光(uv-Vis) 範圍以及近紅外線範圍(NIR)波長的光。在另一實施例中,寬頻 帶光源325可發射波長為約200 nm至約1800 nm的光。寬頻 帶光源325可為汞燈、氘燈、氙燈、鹵素燈、發光二極體(LEDs) 或其組合。寬頻帶光源3 2 5可更調整成為適以在預設頻率下開 及關及/或利用快門開關預定時間,例如一閃控或閃光。在一實 15 1376005 施例中,寬頻帶光源325為一氙閃光燈,適以路 心赞射出波長在約 200 nm至約1800 nm間的光。 • 光譜感測系統300也包括有至少兩光譜儀32〇、335,用 • 以接收由處理空間106而來的光能量。裝設光譜儀32〇、335 以接收UV-Vis及/或NIR波長内的光波長。在—實施例中,光 譜儀320、335為具有嵌入式控制器的雙通道光譜儀。可裝設 光譜儀320以處理NIR波長内之光學訊號,同時可裝設光譜儀 • 335處理UV-Vis波長内的光學訊號。在一應用中,裝設光譜儀 320處理介於約900 nm至約1700 nm之間的光波長,而裝設光 譜儀335處理介於約200 nm至約800 nm之間的光波長。每一 光譜儀320、335耦接於控制器150,例如藉由乙太網路電纜 (Ethernet cables)308連接,其可為一局部區域網路(LAN)電纜 以及其他電纜之應用。此外,線350可由控制器15〇供給一訊 號至光譜儀320、335之其一或兩者,使光譜儀内之磁場同步。 • 第3圖中繪示有各式訊號線連接於光譜儀320、335與光 學監控元件128以及光學傳送元件205。在此實施例中,標號 為315A至3 15C的訊號線代表光纖纜束215之一或多條個別的 光纖。由寬頻帶光源325而來的寬頻帶光經由連接光學傳送元 件205的線315A傳送至處理空間1〇6,並照射基材144(將在 第5圖中更完整敘述)。由基材144及/或電漿305反射之光能 量的一部分經由線315B及315C回到光譜儀320、335之其一 或兩者。例如,波長介於約9〇〇 nm至1700 nm之間的光被送 Ι376Ό05 到光譜儀320 ’且波長介於約2〇〇 nm至8〇〇 nm之間的光被送 到光譜儀335»光學監控元件128耦接於訊號線33〇(連接到光 諳儀335)以提供一來自腔室1〇〇之回傳訊號。訊號線33〇藉由 • 連接器355與線315C連接。在一實施例中,回傳訊號是來自 腔室100内之電漿305的反射能量。訊號線33〇可為一金屬線、 電纜或光纖纖維。 如上所述’為了 OES量測而裝設光譜儀335,〇ES量測是 # 處理空間106内蝕刻狀態之指標。例如,光罩上正被蝕刻的膜 的即時監控傳送有助於改善触刻製程之控制。遮罩的吸收層(例 如’鉻(Cr))在姓刻開始時的穿透率(通常為1%至Η%,取決 於膜的種類)通常很小,但是可量測,當在蝕刻過程中膜變薄直 到元全消失(100%穿透),穿透率是以預期之方式增加,因此可 用來指示蝕刻終點》 第4A圖為光纖纜束215 —實施例之等角剖面視圖。所示 • 的光纖纜束215由19條光纖所組成,但若需要的話,可使用 更多或更少的光纖。光纖束215中個別的光纖尺寸及光纖的數 量之選擇在於使光纖纜束215之尺寸最小化,以減少光纖镜束 215之整體體積。請再參照第3圖’光纖41〇及420共同地以 線315A表示’且係用以傳送寬頻帶光源325的光學訊號。光 纖415及425分別以線315B及315C表示,且用以傳送由某材 144及/或電漿305反射的光學訊號。光纖4〇5是「死的」,且 可交替使用或用在額外的光學傳送裝置中。在一實施例中,使 17 1376005 用光纖405來增加光纖纜束215的機械強度,且稱為結構光纖 405 。在一實施例中,光纖405、410 ' 415、420以及425中 • 之一或多者’為多模光纖(multimode fibers)。 . 第4B圖為光纖纜束215另一實施例的剖面圖。在本實施 例中,每一光纖405、410、415、420以及425是以部分或區 域方式安排,且每一部分或區域包括至少一光纖。例如,區域 450包括一單獨的光纖(41〇),區域455包括6條光纖(包括41〇、 φ 415) ’以及區域46〇包括12條光纖(包括405、420、425)。此 外’為了清楚起見,光纖410、415、420以及425以“S”或“R” 標示,其中“S”表示源光纖,其由寬頻帶光源325傳輸光能量(第 3圖)’以及“R”表示回傳光纖,其是由處理空間丨〇6傳送光能 量至光譜儀320、335 (第3圖). 將光纖410s、415R、420s以及425R設置成可提供波長之 選擇性地衰減(selective attenuation)。例如,將光纖410s以及 • 415r設定成可衰減綠色及藍色波長,且優先傳送紅外線,同時 設定光纖420s以及425R以優先傳送UV-Vis範圍之波長。此 外,在區域450、455以及460之光纖配置樣式可最小化或消 除光纖之間的串音(cross-talk)。例如,提供NIR波長至處理空 間106的光纖410s位在内區域450中,且第二區域455為外區 域460之光纖425R提供了空間分隔。光纖405、410s、415R、 420s,以及425R之空間分隔有助於光能量之優先傳播,而使光 纖之間殘存的串音被最小化或消除。 18 1^376005 母—區域450、455、460以彼此為徑向及/或同軸的關係配 置, ’且包括有實質上圓形的幾何形狀,其包括實質上圓形、實 質上/、邊形、及其組合(在其他多邊形中相似於圓形或六邊 )例如,區域455由區域450徑向向外並以實質上為圓形的 幾何形狀配置。相似的,區域460由區域455逕向向外並以實 質上為圓形的幾何形狀而配置。 在一實施例中,内區域45〇實質上位於光纖纜束215的幾 何中〜,第二及第三區域455、460是大約以内部區域45〇為 中〜而同心配置。第二區域455包括複數個源線(光纖41〇幻以 及複數個回傳線(光纖415r)以交錯的樣式配置,其中沒有源線 鄰接任何回傳線。外部區域46〇也包括複數個源線(光纖42〇s) 以及複數個回傳線(光纖425r),其中一源光纖42〇s配置在兩 回傳光纖425R之間。此外,在外部區域46〇中每一回傳光纖 425R以一結構光纖光纖405分隔。 第5圖為光學傳送元件2〇5之一實施例的剖面示意圖。光 學傳送元件205是對準第丨及第2圖之通道138而裝設,且用 以由光學監控系統140經光纖纜束215傳送及接收光學訊號。 光學傳送元件205包括有光纖纜束215、例如透鏡元件2ιι之 透鏡元件(例如一準直器),其可包括有安裝架5〇5,用以耦接蓋 板202之上表面、視窗142以及栓254。透鏡元件211用以由 光學監控系統140傳送光能量至光纖纜束215、視窗142、經 由栓254中的通道260以及配置在氣體分配面板21〇中的孔隙 1376005 262而形成光束525,而光束是實質上正交於(例如實質上垂直) 基材支撐組件148的上表面之平面以及配置於其上之基材 . 144 »類似地,反射的光能量之全部或大部分朝向孔隙262以 - 及通道260,且最後經光纖纜束215至光學監控系統ι4〇。 在此所述之實施例提供根據矽(si)之光學吸收邊線(optical absorption edge)的光學度量(optical metrics) ’其通常發生在石夕 能帶隙(band gaps)之光學吸收邊線附近。通常當溫度增加時, φ 矽能帶隙下降,而導致石夕的吸收邊緣向較低的光能量或較長 的波長移動。參照矽的能帶隙在室溫或接近室溫時約為112 eV ’對應至約1.107微米(μιη)的光能量,而在約41〇。c時,石夕 的能帶隙下降至約0.9 eV對應於約1.291 μιη的光能量。換句 話說,當矽晶圓的溫度上升,矽的不透明度下降,且該光譜感 測糸統300監控其轉折點(inflection point),而此轉折點可定義 為介於不透明與透明之間的轉變,在個別波長下,轉折點為溫 • 度及強度變化的函數,而自矽晶圓擷取出來的資訊。 第6 A及6B圖繪示飯刻製程中之基材144的剖面示意圖。 在第6A圖中,層602及圖案化遮罩61〇出現在基材144上。 層602可包括一單一材料膜(例如介電層、金屬層或積體電路中 所使用的任何其他層)或多層膜之堆疊’其可以是未被圖案化的 或是圖案化的*在隨後的银刻製程中,可使用圖案化遮罩61〇 作為蝕刻遮罩以蝕刻層602。層602可使用任何習知的薄膜沈 積技術而形成,例如原子層沈積(ALD)、物理氣相沈積(pvD)、 20 1376005 化學氣相沈積(CVD)、電漿輔助化學氣相沈積(PEC VD)、以及 使用由Applied Materials公司(Santa Clara,美國加州)提供之 . 例如CENTURA®、ENDURA®或其他製程系統之各自的製程反 - 應器的類似技術。
圖案化遮罩610 —般可以是光阻劑光罩、α-碳遮罩(例如 非晶體碳)、美商應用材料公司(Santa Clara, California)提供 之 Advanced Patterning FilmTM (APF)以及其類似物。在一示範 φ 性實施例中,圖案化遮罩610為一光阻劑遮罩。參照第6A 圖,說明性地,圖案化遮罩610具有高度614,且包括有結構 620及63 0(例如,線、壁、圓柱以及其相似),其分別具有寬度 606及618。寬度606是小於寬度618或圖案化遮罩610之任 何其他結構的寬度。 在一實施例中,設置光譜感測系統300以即時量測在蝕刻 製程中對應於基材144上結構620之高度614的度量。在蝕刻 φ 製程中,光學傳送元件205使用寬頻源325以產生波長在 UV-Vis及NIR範圍内之入射光並照射基材144,例如由約200 nm至約1700 nm。通常此光以實質上垂直於基材144的方向入 射基材144上約1 mm至約12 mm的區域範圍,因此,在一應 用中,光束的直徑尺寸為約1 mm至約12 mm。在一實施例中’ 照射在基材144上區域的光束尺寸直徑約為10 mm。在另一實 施例中,光束尺寸是小於或等於4 mm,例如直徑約為1 mm。 21 1376005 更明碟地’光學傳送元件2〇5照射基材144之區域是具有關鍵 維度之結構62〇所佔據,例如寬度6〇6。 • 為増加量測的精確度,來自寬頻帶光源325之入射光的強 ' 度可以被調變及/或脈衝化以及極化。在一實施例中,入射光之 調變頻率可以高至約10 Ηζβ 一般而言,可裝設光學傳送元件 205以進行如上所述之干涉度量(interfer〇metric)及/或光譜度量 (spectrometric)之測量。 • 當由光學傳送元件205輻射出的入射光照射在結構620上 時’入射光(射線R1)部分地由表面621反射回來(射線R3),且 部分地傳遞進入結構620中(射線R5)。射線R5更局部地經由 結構620下的表面605而傳播進入層602 (射線R6)中,且在其 中被吸收。射線R5之一部分(射線R7)被結構620的材料所吸 收(例如光阻劑)’且射線R5的一部分反射回來(射線R4)e此 外,照射在接近結構620之區域603的入射光(射線R2)的一部 ® 分可以局部地傳遞進入層602中(射線R8),射線R2在其中被 吸收’但是同時一部分輻射由層602反射回來(射線R9) » 第7圖為蝕刻製程中基材144之另一實施例的剖面示意 圖。在本實施例中’基材144為矽(Si),且使用圖案化遮罩610 以及子遮罩(submask)6i2在垂直方向上(方向z)蝕刻基材144 上之溝槽715。來自光學傳送元件2〇5之入射光(射線R1〇)照射 於基材144上的不同垂直深度,且射線的一部分(射線Rn)反 射回來,而反射是取決於基材的溫度及/或來自光學傳送元件 22 Ι376Ό05 205之光能量的波長。在某些溫度及/或波長下,射線R1〇可由 基材144之背面720反射回來,如第7圖所示。不同層之折射 • 率的不連續性(以數字725、730、735、and以及740表示)產 生明顯的干涉條紋。藉由傅立葉(F〇urier)頻率分析技術或在時 域下之其他分析技術’可利用干涉條紋的資料計算出餘刻深 度。 第8圖緣示在一微機電系統(micr〇 eiectr〇mechanicai φ system,MEMS)之蝕刻製程中(於波長1.6 μιη下)所收集之資料 的圖形800。線805表示在蝕刻製程中收集的干涉訊號。根據 第8圖所示的資料,點810表示約為4.39 μιη之溝槽深度。第 9圖繪示在蝕刻製程中以使用NIR訊號之光譜儀320(第3圖) 收集來自腔室100之電漿放射資料的圖形900。 第10圖繪示矽吸收邊緣的點為基材溫度之函數之圖形 1000。在使用光學傳送元件205時,可以發現約為4 nm之光 Φ 譜解析度可提供溫度量測之准確度約在2。C以内。 相對吸收光譜之計算 第11圊繪示光學傳送元件205之不同元件的折射率之示意 圖,例如透鏡元件211、視窗142以及栓254,其可能與施加於 基材144之光能量發生干涉。為了補償非預期的折射率,提供 相對吸收光譜之測定。在標示1115的圖示中,光纖纜束215 提供標示為射線R12的光,其通過透鏡元件211、視窗142以 23 1376005 及栓254而到達一維持在已知溫度(例如約為25〇c)之基材i44 的表面。光線被反射而離開基材144,並經由栓254、視窗142 .以及透鏡元件211至光纖纜束215。反射光(標示為射線R13) • 是基材溫度的指標,但其包括有源自系統硬體的反射光所導致 的誤差’例如栓254、視窗142以及透鏡元件211。 為了計算這項誤差,如1110所示,在腔室中無基材情況 下,提供穿過栓25、視窗142以及透鏡元件211之光線(標示 # 為射線R12)。放置一光線吸收盤於基材支撐組件上,以避免光 線穿過栓254、視窗142及透鏡元件211而反射回光源。因此, 反射回光纖纜束215的光線主要是因栓254及/或視窗142(射線 R14)及/或透鏡元件211(射線R15)所反射的。 藉由利用1115之參考基材以及mo的反射誤差所得的資 訊,可以計算並得到基材144的溫度,如11〇5所示。光纖纜束 215提供光線(標示為射線R12),光線穿過透鏡元件2丨丨、視窗 • I42及栓254到達將被決定溫度的基材144的表面。光線反射 離開基材144 ’並穿過栓254視窗142及透鏡元件211至光纖 纜束215 ’如射線R13所標示。反射光R13包括有由基材144、 栓254及/或視窗丨42 (射線R14)以及透鏡元件(射線R15) 反射的光線(射線R13)。利用mo、ms中提到的技術所得之 資訊,可以排除栓254、視窗142及透鏡元件211反射之光線 而計算出基材144的相對光吸收,並可在已知溫度下計算基材 24 1376005 反射的光線。也可將燈源輸出差異的校正因子包括在計算之 中 〇 消除電漿放射效應 在蝕刻製程中,來自電漿放射的雜訊(noise)可以被光譜儀 320、335感測到,並可能提供不精確的資訊。因此,較佳可藉 由過濾電漿雜訊以降低或消除電漿放射,而提供更精確的光學 資訊給光譜儀。 第12圖繪示使用寬頻帶光源325之過濾電漿方法一實施 例的示意圖。在本實施例中,寬頻帶光源為燈(lamp)1202,例 如為一氙氣閃光燈。欄位1205表示燈1202是「開啟(on)」,且 射線R12朝向基材144。射線R15表示由基材144及硬體反射 回來,加上來自電漿305輻射的回射輻射。攔位1210表示燈 1202是「關閉(0ff)」,且射線R16表示來自電漿305的輻射。 可以藉此決定射線R15以及射線R16的強度「/」’且可經由下 式計算出硬體及燈的反射光譜: ’ lamp on — J lamp off 在另一實施例中,可以利用一中值光譜濾器(median spectral filter)過濾電漿放射效應。在此實施例中’使用以下的 演算法: A/ 中值(·Α|.-η/2,Α|.-η/2+1,.·.Αί+π/2-1, Α/+η/2) 其中:ζ·=強度,以及n==基材之數目。 25 Ι376Ό05 第13圖繪示原始吸收光譜資料與過濾的吸收光譜資料之 比較圖形1300。未過濾的吸收光譜資料是以軌跡1305表示以 及過遽的吸收光譜資料是以執跡1310表示。如圖所示,來自 • 電漿放射的尖波強度實質上可以被消除。 在操作中’將基材144提供至如上述配置的製程腔室内, 並放置在基材支撐件上。供給姓刻氣體至製程腔室中,並經點 燃而成為電漿。被導引到製程腔室上部之光學可傳遞區的光纖 ® 纜束215可傳送至少一頻率或頻率範圍的電磁能進入製程腔室 中。舉例而言,光纖束可包括一含有第一源光纖束之第一主動 光纖束以傳送第一頻率之電磁能至製程腔室,以及用以偵測製 程腔室内第一頻率之電磁能的第一接收光纖束。光纖束也可包 含有用於傳送第二頻率之第二源光纖束以及第二接收光纖 束。光纖纜束也可包括一間隔光纖束(spacing bundle),其可為 第二光纖束,用以將經其他主動光纖束傳遞的訊號間的干涉最 鲁 j化也了以採用結構光纖束(structural bundle)以增強光纖纜 束之硬度或機械強度。 光纖瘦束215以正交於基材表面之方式將能量引導向基 材。提供給光纖纜束的能量能夠以一或多個特定頻率而產生, 或者可以是寬頻帶光譜能量。入射能量之至少一部份由基材反 射回到光纖束,且被光纖束傳送到一或多個光譜分析器。光譜 分析器比較反射能量之光譜特徵與入射能量之光譜特徵而決 26 1376005 疋基材的狀態’例如钱刻製程的進度及/或溫度。可根據分析反 射能量而調整製程條件。 . 帛14圖為终點偵測方法1400之-實施例的流程圖。在 • 1410中,將基材提供至腔室1GG中,並放置在基材支撑件上。 腔室1〇〇包括有一第一第一光學視窗,例如視窗,其設置 在正交於基材及/或基材支撐件的平面之位置;以及一第二視 窗’例如視窗127 ’其位在相對於基材及/或基材支#件之大致 Φ 的仏向方向上。在1420中,將光罩(例如圖案化遮罩610)放置 於鄰近基材’以助於在基材上形成圖案。纟143()中,將製程 氣體導入腔室中,以及在144〇中,在基材與第一光學視窗(142) 之間產生製私氣體之電漿。在145〇中,將例如UVVis及/或 NIR光譜之光能量導入電漿中並朝向基材。在製程中,在第一 視窗偵測第一訊號(例如由基材反射的光線),以及在第二視窗 (127)偵測第二訊號(例如電漿特性之訊號指標”如146〇所示。 • 在一實施例中,第一减包括IEP度量,並且第二訊號包括〇ES 度量。根據在視窗142及/或127所偵測到的ΐΕΡ及OES度量 之其一或兩者,將1440中所產生的電漿結束,如147〇所示。 舉例而言,IEP度量可包括例如溝槽深度、溝槽寬度及其他特 徵之特性以及代表蝕刻進度與溫度指標之度量^ 〇£3度量包括 其他特性’如.其中之電聚狀態指標及触刻進度。此外,在結束 電漿之刖,可以根據在第一視窗所偵測到的資訊監控及/或調整 基材溫度。 27 1376005 在此所述之實施例是有關於使用寬頻帶能量源之IEP以及 OES分析,例如在此所述之寬頻帶光源325及/或燈1202。這 • 些分析之其一或兩者可用以決定其他參數中之蝕刻製程終 - 點、基材溫度、或银刻選擇率(etch selectivity),且可根據此分 析之其一或兩者調整製程條件。因此,可以藉由設置在基材之 徑向關係上的腔室側壁中的偵測器,偵測電漿所放射的電磁 能,並且以設置在實質上垂直基材之角度上的偵測器,偵測已 φ 知頻率之電磁能或光。電漿所發射之能量及/或已知頻率的光線 能夠被分析,並且可與上述之其他分析比較而改善結果之準確 性。 在此所述之實施例提供的製程優點包括了遠到OES終點 及IEP終點之確認(為了更高的可靠度),特別是低開口面積的 溝槽製程。舉例而言,藉由比較此兩種方法,OES及IEP終點 可以用來偵測兩種終點方法之一者之製程飄移及/或不準確 ^ 性。對於中心-快速或中心-慢速钮刻(center-fast or center-slow etch conditions)的情形,製程均勻性之評估及監控可藉由比較 IEP及OES終點之終點時間(平均值)而獲得改善。藉由將OES 訊號除以IEP訊號可使通過一光罩之0ES訊號得以被常規化。 此常規化提供真實的透射量測,其大部分是與電漿的亮度及變 動無相依性,並允許所測量之光罩光譜透射性與透射性之即時 模式(real-time model)互相比較,因此能夠決定姓刻過程中钱刻 層的厚度(例如鉻層)。常規化也允許測量得到的光罩之光譜透 28 1376005 射與即時模式之光譜透射之間互相比較,因此能夠決定蝕刻過 程中遮罩層的厚度(例如光阻劑)》 雖然上述是以實施例的方式呈現本發明,在不脱離其基本 範疇下,本發明可推衍出其他以及更進一步的實施例,本發明 之範疇是由以下述之申請專利範圍所決定。 【圖式簡單說明】 以上僅為間短概括說明,為使上述所記載本發明特徵結構 之内容可詳細地被理解,可參照實施例使本發明的敘述更明 確,其中某些實施例呈現在附圖_。然而,應注意的是隨後的 附圖僅說明本發明之典型實施例,不應被視為本發明的限制, 因為本發明能夠有其他等效的實施例。 第1圖係為製程腔室之一實施例的剖面視圖。 第2圖係為第丨圖之喷頭組件之一實施例的剖面視圖。 第3圖係為光譜感測系統之一實施例的示意圖。 第4A圖為光纖纜束之一實施例的等角剖面視圖。 第4B圖為光纖纜束之另一實施例的剖面視圖。 第5圖為光學傳送元件之一實施例的剖面示意圖。 第6A及6B圖繪示基材在蝕刻製程中的剖面示意圖。 第7圖為基材在蝕刻製程中之另一實施例的剖面示意圖。 第8圖繪不在微機電系統蝕刻製程中所收集的資料之圖 形。 29 Γ376005 第9圖繪示在蝕刻製程中所收集的電漿放射資料之圖形。 第10圖繪示矽吸收邊緣的點為基材溫度之函數之圖形。 第11圖繪示光學傳送元件中不同元件之反射的示意圖。 第12圖繪示電漿過濾方法之一實施例的示意圖。 第13圖繪不原始的吸收光譜資料與過濾的吸收光譜資料 之比較。 第14圖繪示終點偵測方法之—實施例的流程圖。 _為了助於瞭解,本文中盡可能使用相同的標號來標示各圖 :中共同的相同元件。應理解-實施例中的it件可以有益地 使用在其他的實施例中,而無需進一步的記載。 【主要元件符號說明】 R1_R12 :射線 Z :方向 1 〇〇 :製程腔室 102 :腔室主體 104 :上蓋 1 06 :處理空間 108:側壁 110 :底部 112 :襯墊 1 2 6 :排放埠 30 1376005
127 : 視窗 128 : 光學監控元件 130 : 嗔頭組件礼 132、 13 2’、132” :入口埠 134 : 内部區 135 : 孔 138 : 通道 140 : 光學監控系統 142 : 視窗 143 : 平板 144 : 基材 148 : 基材支撐組件 150 : 控制器 162 : 裝配平台 164 : 基底 166 : 卡盤 168、 170 :槽 176 : 加熱器 202 : 蓋板 204 : 上氣室平板 205 : 光學傳送元件 206 : 下氣室平板 31 1376005 210 :氣體分配面板 211 :透鏡元件 • 215 :光纖纜束 • 2 1 8 :内氣室 220 :外氣室 236 :阻障物 254 :栓 φ 260 :通道 262 :孑L隙 270 :凹處 3 00 :光譜感測系統 305 :電漿 308:乙太網路電纜 315A、315B、315C:寬頻帶光源訊號線 Φ 320 :光譜儀 325 :寬頻帶光源 330 :訊號線 335 :光譜儀 350 :線 355 :連接器 405、410、415、420、425 :光纖 450、455、460 :區域 32 Ι376Ό05
505 : 安裝架 525 : 光束 602 : 層 603 : 區域 605 ' 621 : 表面 606 ' 618 : 寬度 610 : 圖案化遮罩 612 : 子遮罩 614 : 高度 620 ' 630 : 結構 715 : 溝槽 720 : 背面 725 ' 730 ' 735 、 740 :層 800 ' 900 > 1000 ' 1300 : 圖形 805 : 線 810 : 點 1105 、1110 、111 5 :示意 圖 1202 :燈 1205 、1210 :欄 1305 ' 1310 :軌跡 1400 :終點偵測方法 1410、1420、1430、1440、1450、1460、1470 :步轉 33

Claims (1)

1376005 ' 第#(°k^號專利案(οι. f立修正 七、申請專利範圍: 1. 一種製程腔室,該製程腔室包括: 一腔室主體組件,該腔.室主體組件具有—處理空間; • 一喷頭組件,該喷頭組件耦接至該腔室主體之一頂蓋且具 有一區域,該區域可傳遞一光學量測訊號; 一光學監控元件,該光學監控元件配置在該腔室主體之一 經向位置以觀察該處理空間;以及 一光譜感m該光譜m统包含_域束且配置於 一角度上,以經由該噴頭組件之該可傳遞區來觀察該腔室车體 之該處理空間,其中該角度垂直於該喷頭平面; % 其中該光纖束更包括: 、—第—主動部’該第一主動部包括複數個第-源先纖以及 複數個第一訊號光纖; + -第二主動部,該第二主動部包括複數個第二源光纖以及 複數個第二訊號光纖;以及 —第三被動部,該第三被動部包括複數個被動光纖。 、,2_ .如請求項1所述之製程腔室,其中該些複數個第一源 光纖之—部分係相對於該些複數個第一訊v號光纖,以間隔關係 34 1376005 3·如請求項2所述之製程腔室,其中該些複數個第一源 光纖之該部分以及該些複數個第一訊號光纖是實質上按圓形 的幾何形狀交替配置。 4·如請求項1所述之製程腔室,其中該些複數個第二訊 Β 、'、中之母者係鄰接於該些複數個第一源光纖中之至少 一者以及該些複數個第一訊號光纖中至少一者。 5. 如清求項4所述之製程腔室,其中該些複數個第二訊 號光纖係以貫質上圓形之幾何形狀配置,且該些複數個第二源 光纖之一者置於該些複數個第二訊號光纖之間。 6. 如晴求項1所述之製程腔室,其中該些複數個第二訊 號光’截以及該些複數個被動光纖係、以實質上圓形之幾何形狀 配置。 。一 3长項6所述之製程腔室,其中該些複數個第二訊 號光纖中之每纟彼此間以—個被動光纖分隔開。 8· 一種與m以及至少—減譜儀純之光纖纔 束,該光纖纜束包括: 複數個光纖捆成—束,該光纖束包括-第-部,該第一 部包括至源光纖,且該源光纖具有—第―端以及—第二 35 1376005 - 端,該第一端與該輻射源相連接,該第二端係為將輻射從該輻 * 射源處導入至一製程腔室而設置; 第一。卩,該第一部包括複數個第一回傳光纖,該些複 數個第一回傳光纖具有一第一端以及一第二端,該第一端與該 至少一個光譜儀呈訊號連通狀,該第二端係定位於接收源自該 製程腔室之光學訊號;以及 一第二部’該第三部包括有複數個被動光纖其中該第 二部以及該第三部是配置在一共半徑上,且每一源光纖沿著該 -' 共半徑以下列光纖彼此分隔開,該等光纖包含:該些回傳光纖 中之至少一者、該些被動.光纖中之至少—者、或回傳光纖與被 動光纖二者。 9_如請求項8所述之光纖纜束,其中該第一部、該第二 部以及該第三部皆是以實質上為圓形之幾何形狀交替配置。 10.如請求項8所述之光纖纜束,其中該第二部包括複數 個第一源光纖以及複數個第一訊號光纖,該些複數個第二訊號 光纖中之每一者係鄰接於該些複數個第一源光纖中之至少一 者以及該些複數個第一訊號光纖中之至少一者。 36 印6005 11·如請求項10所述之光纖纜束,其中該些複數個第二訊 號光纖是以實質上為圓形之幾何形狀配置,且該些複數個第二 源光織之一者置於該些複數個第二訊號光纖之間。 12. 如請求項8所述之光纖纜束,其中該第三部包括複數 個第二訊號光纖,該些複數個第二訊號光纖是以實質上為圓形 的幾何形狀鄰.接於該些複數傭被動光纖。 13. 如請求項12所述之光纖纜束’其中該些複數個第二訊 號光纖中之母—者彼此間以一個被動光纖分隔開。 14·如清求項8所述之光纖纜束,其中該光纖纜束更包括 一圓形之幾何形狀,該圓形之幾何形狀包括該第二部實質上, 該第二部位於相對於該第三部徑向往内之位置,且該至少—源 光纖位於相對於該第二部徑向往内之位置。 15· 一種處理一基材之方法,包括: 飯刻一基材,該.基材位在一钱刻腔室内之—基材支樓件 上該基材在有一電漿存在下,經由一圖案化遮罩層而被蝕刻; 將光能量導入該電漿並導向該基材; 收集來自該電漿之一第一訊號以及一第二訊號; 、.里由一光纖束將該第一訊號繞送至一偵測器,其中該光纖 纜束包括: 37 1376005 弟—部,該第-部包括至少一個源光纖,且該至 少一個源光纖具有一筮一 ,弟端與一弟一端,該第一端與—輻 射源接,曰访结— 一製程腔室; Λ 一端係疋位為將輻射從該輕射源導向至 、 第—部,該第二部包括複數個第一回傳光纖,該 、:第回傳先纖具有一第一端與一第二端該第一端 與該至少-個光譜儀呈訊號連通狀,且該第二端係定位為 接收源自該製程腔室之光學訊號;以及 一第三部,包括複數個被動光纖,其中該第二部以 及該第三部是配置在一共半徑(c〇mm〇nradius)上且每一 個原光,戴在沿著該共半學上以下列光纖彼此,分隔開,該等 光纖包含:該些回傳光纖中至少-者、該些被動光纖中至 。者或5亥回傳光纖及該被動光纖二者;以及 控制該姓刻製程以回應所收集之該些訊號。 16.如請求項15所述之方法,其中該第一訊號是由自該基 材反射之光能量所產生。 17·如請求項15所述之方法,其中該第二訊號是由來自該 電漿之光能量所產生。 18.如請求項15所述之方法,更包括: 38 1376005 r * Λ ^ - 於蝕刻該基材時,間歇性地收集該第一訊號。 • 19.如請求項15所述之方法,其中該光能量是以一角度被 導向該基材,該角度與該基材平面垂直。 20.如請求項15所述之方法,其中該光能量係藉由該光纖 之該第一部而被導向該基材。 21.如請求項15所述之方法,其中該第一訊號係在一角度 上被該第二部所收集,該角度相對於該基材平面呈垂直。 22·如咕求項2 1所述之方法,其中該第二訊號係從一徑向 位置上被收集,該徑向位置係相對於該基材。 23. -種包含多個指令之電腦可讀媒體,當該些指令被— 製程系統執行時’可控制在該製程系統中執行之—㈣製程, 該蝕刻製程包括: 钱刻一基材,該基材位、於一劁 ' 衣程糸統内之一基材支撐件 上該基材是在一電裂存在下,葬由—阁电 _ 曰 圖案化遮罩層而被I虫刻; 將光能量引導穿過該電聚而朝向該基材; 收集來自該電漿之—第—1妹κ τ< 〈帛訊就以及—第二訊號; 經由一光纖束將該第—訊铗蟢找 境束包括·· 送至_偵測器,其中該光纖 39 137^)05 一第一部,該第一部包括至少一個源光纖,.且該源. 光纖具有一第一端與一第二端,該第一端與一輻射源耦 接,且該第二端係定位為將輻射從該輻射源導入至一製程 腔室; . 一第一部,該第二部包括複數個第一回傳光纖,該 複數個第一回傳光纖具有一第一端與一第二端,該第一端 與該至少一個光譜儀呈訊號連ϋ狀,該第二端係定位為接 收源自該製程腔室之數個光學訊號;以及 一第二部,包括複數個被動光纖,其中該第二部以 及該第二部疋配置在_共半徑(c〇mm〇nradius)上,且每一 源光纖在沿著該共半徑上以下列光纖彼此分隔開,該等光 纖包含:該些回傳光纖中之至少一者、該些被動光纖中之 至少—者、或該回傳光纖及該被動光纖二者;以及 控制該蝕刻製程以回應所收集之該些訊號。 40 1376005 四、 指定代表圖: (一) 本案指定代表圖為:第(11 )圖。 (二) 本代表圖之元件符號簡單說明: 142 :視窗 144 :基材 211 :透鏡元件 215 :光纖纜束 254 :栓 R12、R13 ' R14 ' R15 :射線 1105、1110、1115 :示意圖 五、 本案若有化學式時,請揭示最能顯示發明特徵的化學式: 無
TW098106582A 2008-02-29 2009-02-27 Advanced process sensing and control using near infrared spectral reflectometry TWI376005B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US12/040,698 US8009938B2 (en) 2008-02-29 2008-02-29 Advanced process sensing and control using near infrared spectral reflectometry

Publications (2)

Publication Number Publication Date
TW200943459A TW200943459A (en) 2009-10-16
TWI376005B true TWI376005B (en) 2012-11-01

Family

ID=41012371

Family Applications (1)

Application Number Title Priority Date Filing Date
TW098106582A TWI376005B (en) 2008-02-29 2009-02-27 Advanced process sensing and control using near infrared spectral reflectometry

Country Status (6)

Country Link
US (1) US8009938B2 (zh)
JP (1) JP5586483B2 (zh)
KR (1) KR101203592B1 (zh)
CN (1) CN101960580A (zh)
TW (1) TWI376005B (zh)
WO (1) WO2009111156A2 (zh)

Families Citing this family (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102006051550B4 (de) * 2006-10-30 2012-02-02 Fhr Anlagenbau Gmbh Verfahren und Vorrichtung zum Strukturieren von Bauteilen unter Verwendung eines Werkstoffs auf der Basis von Siliziumoxid
US7976631B2 (en) 2007-10-16 2011-07-12 Applied Materials, Inc. Multi-gas straight channel showerhead
US8357286B1 (en) 2007-10-29 2013-01-22 Semcon Tech, Llc Versatile workpiece refining
US8668775B2 (en) * 2007-10-31 2014-03-11 Toshiba Techno Center Inc. Machine CVD shower head
US8009938B2 (en) * 2008-02-29 2011-08-30 Applied Materials, Inc. Advanced process sensing and control using near infrared spectral reflectometry
US20090316749A1 (en) * 2008-06-23 2009-12-24 Matthew Fenton Davis Substrate temperature measurement by infrared transmission in an etch process
CN103119704A (zh) 2010-07-23 2013-05-22 第一太阳能有限公司 在线计量系统及方法
US8649017B2 (en) * 2011-08-16 2014-02-11 Applied Materials, Inc. Methods and apparatus for sensing a substrate in a chamber
US8982362B2 (en) * 2011-10-04 2015-03-17 First Solar, Inc. System and method for measuring layer thickness and depositing semiconductor layers
CN107340509B (zh) * 2012-03-09 2020-04-14 株式会社半导体能源研究所 半导体装置的驱动方法
US8492280B1 (en) 2012-05-07 2013-07-23 International Business Machines Corporation Method for simultaneously forming features of different depths in a semiconductor substrate
US20130309785A1 (en) * 2012-05-18 2013-11-21 Applied Materials, Inc. Rotational absorption spectra for semiconductor manufacturing process monitoring and control
DE102012221080A1 (de) * 2012-11-19 2014-03-06 Osram Opto Semiconductors Gmbh Verfahren zur Herstellung einer Schicht auf einem Oberflächenbereich eines elektronischen Bauelements
US9887095B2 (en) 2013-03-12 2018-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for an etch process with silicon concentration control
US10012494B2 (en) * 2013-10-25 2018-07-03 Applied Materials, Inc. Grouping spectral data from polishing substrates
JP6329790B2 (ja) * 2014-03-25 2018-05-23 株式会社日立ハイテクノロジーズ プラズマ処理装置
US9640371B2 (en) * 2014-10-20 2017-05-02 Lam Research Corporation System and method for detecting a process point in multi-mode pulse processes
US9752981B2 (en) * 2015-04-30 2017-09-05 Lam Research Corporation Apparatus with a spectral reflectometer for processing substrates
US10253412B2 (en) * 2015-05-22 2019-04-09 Lam Research Corporation Deposition apparatus including edge plenum showerhead assembly
CN106935467B (zh) * 2015-12-31 2018-11-06 中微半导体设备(上海)有限公司 一种电感耦合等离子处理器
CN107546141B (zh) * 2016-06-28 2020-12-04 中微半导体设备(上海)股份有限公司 监测等离子体工艺制程的装置和方法
CN107546093B (zh) * 2016-06-28 2019-04-12 中微半导体设备(上海)股份有限公司 气体注入装置、其制作方法及其应用的等离子处理装置
CN107546094B (zh) * 2016-06-28 2019-05-03 中微半导体设备(上海)股份有限公司 监测等离子体工艺制程的等离子体处理装置和方法
US10215693B2 (en) 2016-09-29 2019-02-26 Kla-Tencor Corporation Infrared spectroscopic reflectometer for measurement of high aspect ratio structures
US20180286643A1 (en) * 2017-03-29 2018-10-04 Tokyo Electron Limited Advanced optical sensor, system, and methodologies for etch processing monitoring
US11424115B2 (en) * 2017-03-31 2022-08-23 Verity Instruments, Inc. Multimode configurable spectrometer
JP7246247B2 (ja) * 2019-05-15 2023-03-27 東京エレクトロン株式会社 基板処理装置及び監視方法
KR20210031023A (ko) 2019-09-10 2021-03-19 삼성전자주식회사 반도체 기판 측정 장치 및 이를 이용한 플라즈마 처리 장치
US20210391157A1 (en) * 2020-06-12 2021-12-16 Applied Materials, Inc. Thin film, in-situ measurement through transparent crystal and transparent substrate within processing chamber wall
US20220148862A1 (en) * 2020-11-12 2022-05-12 Applied Materials, Inc. Optical cable for interferometric endpoint detection
US20230298872A1 (en) * 2022-03-16 2023-09-21 Applied Materials, Inc. Process characterization and correction using optical wall process sensor (owps)

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01189618A (ja) * 1988-01-26 1989-07-28 Asahi Chem Ind Co Ltd プラスチツク光フアイバーコード
DE69126149T2 (de) * 1990-01-22 1998-01-02 Sony Corp Trockenätzverfahren
JPH04150025A (ja) 1990-10-15 1992-05-22 Fujitsu Ltd 半導体装置の製造方法
RU2036418C1 (ru) 1992-07-07 1995-05-27 Научно-исследовательский институт механики и физики при Саратовском государственном университете Устройство для определения толщины и оптических свойств слоев в процессе их формирования
US5499733A (en) * 1992-09-17 1996-03-19 Luxtron Corporation Optical techniques of measuring endpoint during the processing of material layers in an optically hostile environment
US5963315A (en) 1997-08-18 1999-10-05 Motorola, Inc. Method and apparatus for processing a semiconductor wafer on a robotic track having access to in situ wafer backside particle detection
US6081334A (en) * 1998-04-17 2000-06-27 Applied Materials, Inc Endpoint detection for semiconductor processes
DE19983773T1 (de) 1998-12-07 2002-03-28 Intel Corp Transistor mit eingekerbtem Gate
EP1052059A3 (en) * 1999-05-03 2001-01-24 Applied Materials, Inc. Method for chemical mechanical planarization
JP2002005635A (ja) * 2000-06-23 2002-01-09 Toshiba Corp エッチング深さ測定方法および測定装置並びにエッチング装置
US6745095B1 (en) * 2000-10-04 2004-06-01 Applied Materials, Inc. Detection of process endpoint through monitoring fluctuation of output data
KR20030000274A (ko) 2001-06-22 2003-01-06 주식회사 파이맥스 반도체 제조공정에서 실시간 플라즈마 측정과 박막분석을위한 다채널 분광분석기
AU2002349791A1 (en) 2001-11-06 2003-05-19 C.I. Systems Ltd. In-line spectroscopy for process monitoring
US20040018647A1 (en) * 2002-07-02 2004-01-29 Applied Materials, Inc. Method for controlling the extent of notch or undercut in an etched profile using optical reflectometry
US8257546B2 (en) * 2003-04-11 2012-09-04 Applied Materials, Inc. Method and system for monitoring an etch process
US7158221B2 (en) * 2003-12-23 2007-01-02 Applied Materials, Inc. Method and apparatus for performing limited area spectral analysis
US7712434B2 (en) * 2004-04-30 2010-05-11 Lam Research Corporation Apparatus including showerhead electrode and heater for plasma processing
US20060012796A1 (en) * 2004-07-14 2006-01-19 Susumu Saito Plasma treatment apparatus and light detection method of a plasma treatment
US7833381B2 (en) * 2005-08-18 2010-11-16 David Johnson Optical emission interferometry for PECVD using a gas injection hole
US8475625B2 (en) * 2006-05-03 2013-07-02 Applied Materials, Inc. Apparatus for etching high aspect ratio features
US8009938B2 (en) * 2008-02-29 2011-08-30 Applied Materials, Inc. Advanced process sensing and control using near infrared spectral reflectometry
WO2010033924A2 (en) * 2008-09-22 2010-03-25 Applied Materials, Inc. Etch reactor suitable for etching high aspect ratio features

Also Published As

Publication number Publication date
KR20100125370A (ko) 2010-11-30
JP2011513975A (ja) 2011-04-28
CN101960580A (zh) 2011-01-26
WO2009111156A2 (en) 2009-09-11
US20090218314A1 (en) 2009-09-03
US8009938B2 (en) 2011-08-30
WO2009111156A3 (en) 2009-12-10
KR101203592B1 (ko) 2012-11-23
TW200943459A (en) 2009-10-16
JP5586483B2 (ja) 2014-09-10

Similar Documents

Publication Publication Date Title
TWI376005B (en) Advanced process sensing and control using near infrared spectral reflectometry
TWI649821B (zh) 在晶圓處理系統內進行低溫測量的設備與方法
TWI822439B (zh) Pecvd設備與製程
JP3774094B2 (ja) 膜厚、加工深さ測定装置及び成膜加工方法
KR101046918B1 (ko) 식각공정을 모니터링하기 위한 방법 및 시스템
JP2009505429A (ja) ガス注入孔を用いたpecvdのための光学的放射干渉測定
TWI744382B (zh) 用以偵測清洗製程之腔室清洗終點之方法與裝置及其對應系統
JP2000208503A (ja) 縦型熱処理装置
TWI734700B (zh) 處理速率決定設備
US10249522B2 (en) In-situ temperature measurement in a noisy environment
TW201538923A (zh) 厚度‧溫度測定裝置、厚度‧溫度測定方法及基板處理系統
US20160263634A1 (en) Optical endpoint detection system
JP2021504977A (ja) プラズマチャンバ壁の状態のリアルタイム監視のための方法および装置
US20150185092A1 (en) Heat-flux measuring method, substrate processing system, and heat-flux measuring member
TW202217233A (zh) 通過處理腔室壁內的透明晶體和透明基板進行薄膜原位測量
WO2013192510A1 (en) Reduction of radiation thermometry bias errors in a cvd reactor
TW201441590A (zh) 用於熱處理腔室的高溫測量過濾器
TW202300891A (zh) 半導體製程表面監測
TW202309357A (zh) 原位膜生長感測器組件、設備及方法
US10930478B2 (en) Apparatus with optical cavity for determining process rate
JP2023508406A (ja) 紫外線半導体基板処理のためのインシトゥ光検出方法および装置
WO2022239683A1 (ja) 基板を処理する装置、及び処理ガスの温度、濃度を測定する方法
CN112041977A (zh) 内联式腔室计量
WO2005005941A1 (ja) 温度測定装置および熱処理装置、並びに温度測定方法
KR20050048979A (ko) 식각 종말점 검출창 및 이를 갖는 식각 장치

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees