TWI375264B - Silicon oxide polishing method utilizing colloidal silica - Google Patents

Silicon oxide polishing method utilizing colloidal silica Download PDF

Info

Publication number
TWI375264B
TWI375264B TW096115068A TW96115068A TWI375264B TW I375264 B TWI375264 B TW I375264B TW 096115068 A TW096115068 A TW 096115068A TW 96115068 A TW96115068 A TW 96115068A TW I375264 B TWI375264 B TW I375264B
Authority
TW
Taiwan
Prior art keywords
liquid carrier
substrate
polishing
suspended
component
Prior art date
Application number
TW096115068A
Other languages
English (en)
Other versions
TW200807533A (en
Inventor
Benjamin Bayer
Zhan Chen
Jeffrey P Chamberlain
Robert Vacassy
Original Assignee
Cabot Microelectronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Cabot Microelectronics Corp filed Critical Cabot Microelectronics Corp
Publication of TW200807533A publication Critical patent/TW200807533A/zh
Application granted granted Critical
Publication of TWI375264B publication Critical patent/TWI375264B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/02Polishing compositions containing abrasives or grinding agents
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Finish Polishing, Edge Sharpening, And Grinding By Specific Grinding Devices (AREA)

Description

1375264 九、發明說明: 【發明所屬之技術領域】 本發明係關於一種抛光梦氧化物基板之方法。 【先前技術】 積體電路係由數百萬個在基板(例如,矽晶圓)中或其上 形成之有源器件構成。該等有源器件以化學及物理方式連 接至基板上’並藉由使用多層互連線互連以形成功能電 路。典型多層互連線包括一第一金屬層、一層間介電層及 有時一第三及後續金屬層。可使用諸如經摻雜及未摻雜之 石夕氧化物(Si〇2)及/或低-k電介質等層間電介質將不同金屬 層電絕緣。 可藉由使用金屬通路使不同互連層之間形成電連接。例 如,美國專利5,741,626闡述一種用於製備氮化鈕(TaN)介 電層之方法。此外,美國專利4,789,648闡述一種在絕緣體 膜中製備多個金屬化層及金屬化通路之方法。同樣,可使 用金屬觸點在形成於一井中之器件與互連層之間形成電連 接。金屬通路及觸點可填有各種金屬及合金,諸如鈦 (Τι)、氮化鈦(TiN)、鋁銅(Al-Cu)、鋁矽(Al-Si) ' 銅(Cu)、 鎢(W)或其組合(下文稱為「通路金屬」)。 在一半導體製造過程中’可藉由毯覆金屬沈積繼而進行 化學-機械拋光(CMP)步驟形成金屬通路及/或觸點《在一 典型過程中,通孔經蝕刻而穿過一層間電介質(ILD)至互 連線或半導體基板。爾後,在ILD上形成障壁膜並將其引 入已姓刻之通孔内。然後,將通路金屬毯覆沈積在障壁膜 120445.doc 1375264 上並至該通扎内。沈積持續直至通孔充滿經毯覆沈積之金 屬。最後,藉由化學-機械拋光(CMP)移除過量金屬以形成 金屬通路。通路之製造過程及/或CMP揭示於美國專利第 4’671,851號、第 4,910,155 號及第 4,944,836 號中。 π於一基板表面之平面化或拋光(尤其用於CMP)之紐合 物、系統及方法已於此技術中係習知。拋光組合物或系統 (亦S知為拋光漿)通常含有一呈水溶液之磨料,且藉由用 一浸透有該拋光組合物之拋光墊接觸一表面而應用至該表 面。當用於拋光包括金屬之基板時,該等拋光組合物經常 包括一氧化劑。該氧化劑之目的係將該等金屬之表面轉化 為較該金屬自身更為柔軟、更易於磨姓之材料。因此,包 括氧化劑之拋光組合物與磨料結合一般僅需要較不強烈地 機械研磨基板,此可減少由磨蝕過程所致之對該基板之機 械損傷。另外,有氧化劑存在通常可增加對於金屬之移除 迷率’且增加一生產設施中之通過量。 理想地,一 CMP系統應產生一經拋光之平坦表面,其中 在該ILD之經拋光表面上無殘餘金屬膜,且所有通路具有 處於與該ILD之經拋光表面之位準平齊之高度之金屬。然 而,一旦高點被快速地拋光,則此時已處於該墊之可及範 圍之低點亦會分擔負荷,因此導致一相對較低之拋光壓 力。在將金屬層從該ILD之表面全部移除之後,拋光由與 ILD平面齊平之金屬層與ILD自身一起來分擔。因為金屬 之拋光率不同於該ILD之拋光率,且在某些情形下大於 ILD之拋光率,因此於ILD位準之下之金屬亦被移除因 120445.doc 1375264 而留下空間。在此技術中此等空間之形成稱為碟形凹陷。 大金屬有源器件内之嚴重碟形凹陷係良率損失之根源,尤 以在其發生在基板之較下層時為然(於此碟形凹陷導致上 面層中之截留金屬缺陷)。
在諸多CMP作業中:矽氧化物係闬作下伏介電質材料。 通常’當使用一具有酸性pH值之組合物拋光時,基於碎氧 化物之介電膜具有極低之移除速率。此限制會阻礙在低pH 值下對諸如鎢等金屬之非選擇性拋光,且會導致碟形凹 陷。 本業界需要可相對於介電層提供對金屬層之非選擇性拋 光之拋光組合物及方法。本發明提供此類組合物及方法。 自本文所提供的對本發明之說明可清楚瞭解本發明之此等 及其它優點以及其它發明特徵。 【發明内容】 本發明提供一種將一基板以化學-機械方式拋光之方 法’該方法包含:⑴提供一包括至少一層石夕氧化物之基 板;(ii)提供一化學-機械拋光組合物,其包括:(a)一液體 載體、及(b)懸浮於該液體載體中之具有2〇 11〇1至3〇 初級粒度之溶膠-凝膠膠體二氧化矽磨料微粒;(Hi)用一拋 光塾及該化學·機械拋光組合物接觸該基板;㈣將該基板 相對於該拋光墊及該化學-機械拋光組合物運動,及(v)磨 蝕至少一部分矽氧化物以拋光該基板。 【實施方式】 本發明提供一種將一基杯以仆與_地以. ^ 丞板以化學-機械方式拋光之方 120445.doc -8 - 1375264 法。該方法包括:⑴提供一包括至少一層矽氧化物之基 板;(ii)提供一化學-機械拋光組合物;(iii)用一拋光墊及 該化學-機械拋光組合物接觸該基板;(iv)將該基板相對於 該拋光塾及該化學-機械拋光組合物運動,及(V)磨钮至少 一部分矽氧化物以拋光該基板。該拋光組合物係包括以下 組分或係基本上由以下組分組成或係由以下組分組成:(a) 一液體載體:及(b)懸浮於該液體載體中之具有2〇 11111至3〇 nm之初級粒度大小之溶膠-凝膠膠體二氧化矽顆粒。 擬使用本發明之方法拋光之基板可係任何適宜之基板, 其包括至少一層梦氧化物。適宜之基板包括(但不限於)平 板顯示器、積體電路、記憶體或硬磁盤、金屬、層間電介 質(ILD)器件、半導體、微電子-機械系統 '鐵電體及磁 頭。該梦氧化物可包括、基本上或完全由任何適宜之碎氧 化物組成,其中許多係此技術中所習知。適宜類型之妙氧 化物包括(但不限於)硼磷矽酸鹽玻璃(BPSG)、經電聚增強 之四乙酯原石夕酸鹽(PETEOS)、熱氧化物、未經摻雜的碎 酸鹽玻璃及高密度電漿(HDP)氧化物。較佳地,該基板亦 包括一金屬層。該金屬可包括、基本上或完全由任何適宜 的金屬組成,其中許多係此技術中所習知,諸如(例如), 鎢0 該拋光墊可係任何適宜的拋光墊,其中許多係此技術中 所習知。適宜的拋光墊包括(例如)編織或非編織之拋光 墊。另外,適宜的拋光墊可包括具有各種密度、硬度、厚 度、可壓縮性、壓縮後回彈之能力、及壓縮模量之任何適 120445.doc 1375264 宜的聚合物。適宜的聚合物包括(例如)聚氯乙烯、聚氟乙 烯、耐綸、氟代烴、聚碳酸酯、聚酯、聚丙烯酸酯、聚 醚、聚乙烯、聚醯胺、聚胺酯、聚苯乙烯、聚丙烯其共 同生成之產品或其混合物。 該拋光墊在其研磨表面上或内包括固定磨料微粒或該 拋光墊可大體上無固定磨料微粒。固定磨料拋光墊包括具 有藉助一黏合劑、結合劑、陶瓷體、樹脂或類似物黏貼至 該拋光墊之拋光表面之磨料微粒之墊,或包括磨料,其已 浸透於一拋光墊之内以形成該拋光墊之一整體部分例如 一浸透一含有磨料之聚胺基曱酸酯分散液之纖維絮。 該拋光墊可具有任何適宜的組態。舉例而言,該拋光墊 可係圓形,且當使用時通常將具有繞一垂直於由墊表面界 定之平面之轴之旋轉運動。該拋光墊可係圓柱形,其表面 用作研磨表面,且當使用時通常將具有繞該圓柱之中心軸 之旋轉運動。該拋光墊可採用一環形帶之形式,其在使用 時通常將具有相對於被拋光研磨邊緣之線性運動。該拋光 塾可具有任何適宜的形狀,且當使用時,具有一沿一平面 或一半圓之往復或軌跡運動。許多其他之變化對熟悉此技 術之人員將顯而易見。 該拋光組合物包括一磨料,其合意地懸浮於該液體載體 (例如’水)内。該磨料通常呈微粒狀。特定而言,該磨料 包括、基本上或完全由經溶膠-凝膠處理之膠體二氧化梦 微粒組成’該等微粒可自(例如)Nalco Co.及;Fuso chemical Co·等來源購得。由該磨科組成之該等微粒易於形成聚集 120445.doc -10- 1375264 體’聚集體之大小可使用光散射或盤式離心技術來量測。 聚集體粒度通常被稱作次級粒度。初級粒度定義為該聚集 體之單位構建區塊。可自藉由bet方法所量測之比表面積 來獲得該初級粒度β 該等踢體二氧化《ε夕微粒可具有20 nm或更大之平均岛級 粒度(例如21 nm或更大,22 nm或更大,23 nm或更大或者 24 nm或更大)。該等膠體二氧化矽微粒可具有3〇 nm或更 小之平均初級粒度(例如,29 nm或更小、28 nm或更小、 27 nm或更小或者26 nm或更小)。因此,該等膠體二氧化 矽微粒可具有20 nm至30 nm之平均初級粒度(例如,21 nm 至 29 nm、 22 nm 至 28 nm、23 nm 至 27 nm 或者 24 nm 至 26 nm)°更佳者,該等膠體二氧化矽微粒具有25 nm之平 均初級粒度。 任何適宜量之磨料可存在於該拋光組合物中。通常, 0.01 wt.%或更高(例如,〇 〇5 wt %或更高)之磨料可存在於 該拋光組合物中。更通常而言,0.1 wt.%或更高(例如, 1 wt.%或更尚、5 wt %或更高' 7 wt %或更高、1〇 wt %或 更尚或者12 wt.%或更高)之磨料可存在於該拋光組合物 中。該拋光組合物中磨料之量通常將係30 wt.%或更低, 更通常而言係20 wt.%或更低(例如,15 wt.%或更低卜較 佳地,該拋光組合物中磨料之量係丨wt %至2〇 wt %,且更 佳地係5 wt./〇至15 wt %(例如,7糾%至15 %)。 液體載體用於使該磨料及任何可選添加劑易於施用於 一欲拋光(例如,平面化)之適宜基板之表面上。該液體載 120443.doc 1375264 體可係任何適宜的溶劑,包括低碳醇(例如, 1 ^ 乙醇 等)、醚(例如’二惡烷、四氫呋喃等)、水及其混合物。較 佳地’該液體载體包括、基本上或完全由水、更佳者心 子水組成。 該拋光組合粝亦可包括一氧化劑:該氧化劑可係任何適 用於欲用該拋光組合物拋光之基板之一種或多種材料之氧 化劑。較佳地,該氧化劑係選自由下列各物組成之群溴 酸鹽、亞錢鹽、氯酸鹽、亞氣酸鹽、過氧化氫、次氣酸 鹽、蛾酸鹽、過氧化硫酸鹽、過氧化亞硫酸鹽、過氧化碟 酸鹽、過氧化連二碟酸鹽、過氧化㈣酸鹽、有機齒素氧 化物化合物、高碘酸鹽、高錳酸鹽、過乙酸、及其混合 物。該氧化劑可以任何適宜的量存在於該抛光組合物中。 通吊,該拋光組合物包括〇 〇丨wt %或更高(例如,〇 wt.%或更高、01糾%或更高、〇 5 wt %或更高或者^ wt. /〇或更问)之氧化劑。較佳地’該拋光組合物包括2〇 wt·/。或更低(例如,15〜%或更低、i〇 %或更低或者$ wt·/。或更低)之氧化劑。較佳地該拋光組合物包括〇 μ Wt./ο 至 20 wt.〇/〇(例如,〇 〇5 wt %至 15 〇/〇、〇」%至⑺ wt./。 〇·3 wt·% 至 6 wt.% 或者 0.5 wt.% 至 4 wt.°/〇)之氧化 劑。 該拋光組合物(具體而言,具有任何溶解組分或懸浮於 其中之組刀之該液體載體)可具有任何適宜的ρίί值。該拋 光組合物之實際pH值將部分取決於待拋光基板之類型。該 拋光、,且〇物可具有一小於7之PH值(例如,ό或更低、5或更 120445.doc -12- 1375264 低、4或更低、3.5或更低或者3.3或更低)。該拋光組合物 可具有一 1或更高之pH值(例如,2或更高、2.1或更高、2.2 或更高、2.3或更高、2.5或更高、2 7或更高或者3或更 高)。該pH值可係(例如)從1至6(例如,從2至5、從2至4、 從2至3.5'從2.3至3_5或者從2.3至3.3), 可藉由任何適宜的方法達到及/或維持該拋光組合物之 pH值。更具體而言’該拋光組合物可進一步包含一 pH調 節劑、一 pH緩衝劑或其組合。該pH調節劑可包括、基本 上或元全由任何適宜的pH-調節化合物組成。舉例而言, 該pH調節劑可係任何適宜的酸,例如一無機或有機酸,或 / 其組合《舉例而言,.該酸可係硝酸。該pH缓衝劑可係任一 適宜的緩衝劑’例如,磷酸鹽、乙酸鹽' 硼酸鹽、場酸 鹽、羧酸鹽、銨鹽及類似物。此拋光組合物可包含任何適 宜量之pH調節劑及/或PH緩衝劑,,只要此量足以達成及/或 維持(例如)本文所.提出範圍内之樾光組合物之合意pH值。 視需要’該抛光組合物可包含一 ▲蚀抑制劑(即,成膜 劑)。該腐蝕抑制劑可包括、基本1或完全由任何適宜的 腐钱抑制劑組成。較'佳地,該腐蝕抑制劑係甘氨酸。該抛 光組合物中所用腐蝕抑制劑之量通常係拋光組合物總重量 之0.0001 你1%至3\¥[%(較佳係0.001.评1.%至2你1%)。 彳見需要,該拋光組合物可包含一螯合劑或錯合劑。該許 合.劑係任何適宜的化學添加劑,該添加劑'增強’正被移g之 ^基板層之^除速'率’或在矽拋光中移除痕量金屬^染 合適的犛合劑或錯合劑可包括(例如):羰基化合物(例如, _ ' * 120445.doc 13 1375264 乙醯巧H物及類似物)、簡單的羧酸鹽(例如乙酸鹽、羧 酸芳酯及類似物)、包含一或多個羥基之羧酸鹽(例如羥乙 酸鹽、乳酸鹽、葡萄糖酸鹽、沒食子酸及其鹽,及類似 物)、 、二-及多幾酸鹽(例如,草酸鹽、草酸、鄰苯二 甲酸鹽、檸懞酸鹽、琥珀酸鹽、酒石酸鹽、蘋果酸鹽、乙 二胺四乙酸鹽(例如,乙二胺四乙酸二鉀EDTA)、其混合物 及類似物)、含有一或多個磺酸基及/或膦酸基之羧酸鹽、 及類似物。合適的螯合劑或錯合劑亦可包括(例如)二元、 三元或多元醇(例如,乙二醇、鄰笨二酚、連苯三酚、丹 寧酸及類似物)、諸如Dequest 2010、Dequest 2〇6〇或
Dequest 2000等(可自Solutia c〇rp購得)之多元膦酸鹽及含 胺基化合物(例如,氨、胺基酸、胺基醇、二、三及多胺 及類似物)。該螯合劑或錯合劑之選擇將相依於等被移除 基板層之類型而定。 應瞭解,許多上述化合物可以鹽(例如,金屬鹽、銨鹽 或類似物)' 酸或亞鹽之形式存在。例如,檸檬酸鹽包括 檸檬酸及其單-、二-及三-鹽;鄰苯二曱酸鹽包括鄰笨二甲 酸及其單鹽(例如,鄰苯二甲酸氫鉀)及二_鹽;高氯酸鹽包 括相應酸(亦即,高氯酸)及其鹽。此外,某些化合物或試 劑可具有一種以上之功能。例如,某些化合物可兼作螯合 劑與氧化劑二者(例如,某些鐵硝酸鹽及類似物)。 該拋光組合物視情況可進一步包括一種或多種其他添加 劑。該等添加劑包含包括一個或多個丙烯亞基之丙烯酸鹽 (例如,乙烯基丙烯酸鹽及苯乙烯丙烯酸鹽)及其聚合體、 120445.doc •14· 共聚體及低聚體,以及其鹽。 該抛光組合物可包括一表面活性劑及/或流變控制劑, 包括黏度增強劑及凝結劑(例如,聚合流變控制劑,諸如 (例如)聚氨酯聚合物適宜的表面活性劑可包含(例如)陽 離子表面洁性劑、陰離子表面活性劑、非離子表面活性 劑、兩性表面活性劑、其混合物,及類似物。較佳地,該 拋光組合物包含非離子表面活性劑。一適宜的非離子表面 ί生劑之實例係乙二胺聚乙二醇表面活性劑。該抛光組合 物中表面活性劑之量通常係0_0001 Wt.%至1 wt.%(較佳係 0-001 wt·%至(U wt.% 及更佳係 0 005 wt %至〇 〇5 wt %卜 該拋光組合物可包括一消泡劑。該消泡劑可包括、基本 上或完全由任何適宜的消泡劑組成。適宜之消泡劑包括 (但不限於)基於矽及基於炔系二醇之消泡劑。在拋光組合 物中消泡劑之量通常係10卯瓜至14〇 ppm。 該拋光組合物可包括一除生物劑。該除生物劑可包括、 基本上或完全由任何適宜的除生物劑組成,例如一異噻唑 啉酮除生物劑《在該拋光組合物中殺蟲劑之量通常係1至 50 ppm,較佳係 1〇至 20 ppm。 該抛光組合物較佳地具有膠態穩定性。術語「膠體」意 指微粒於液體載劑中之懸浮液。膠態穩定性指經過一段時 間仍能維持此懸浮液形式。當將該拋光組合物置於1卯毫 升量筒内並使其無攪動靜置2小時時,若量筒底部5〇毫升 内的顆粒濃度([B],以g/ml表示)與量筒頂部5〇毫升内的顆 粒濃度([T],以g/mi表示)的差除以拋光組合物令的初始顆 120445.doc 15 1375264 粒濃度([C],以g/ml表示)小於或等於〇5(亦即,{[Β]·[τ]} /[C]S0.5) ’則認為拋光組合物具有膠態穩定性。[Β] [τ讥c] 的值較佳地小於或等於0.3,更佳地小於或等於〇1,甚至 更佳地小於或等於0.05,且最佳地小於或等於〇 〇1。 該拋光組合狯可以任何適宜的技術製備;其中許多技術 為熟悉此技術者所習知。可以一成批或連續過程製備該拋 光組合物。一般而言,可藉由以任一順序組合其組分來製 備該拋光組合物。如本文所使用之術語「組分」包含單數 成分(例如,氧化劑、磨料等)以及成分之任一組合(例如, 水、鹵素陰離子、表面活性劑等)。 該拋光組合物可作為一單包裝系統提供’其包括一液體 載體,及(視需要)一磨料及/或其他添加劑。或者,某些組 刀,例如一氧化劑可以乾燥形式或者作為該液體載體中之 一溶液或分散液供應於一第一容器中,且剩餘組分,例如 磨料及其他添加劑可供應於一第二容器或多個其他容器 中。該拋光組合物之該等組分之其他兩個容器或三個或多 個容器組合之方案亦在熟悉此技術者之知識範圍内。 固體組分(例如,一磨料)可以乾燥狀態或作為該液體載 體之一溶液置入一個或多個容器中。另外,合適之情形係 在該第一、第二或其他容器中之組分具有不同的ρΗ值或 另-情形係具有大體上相似或甚至相等的阳值。該抛光組 合物之組分可被部分地或全部地彼此獨立地供應或可(例 如)由最終用戶於使用前不久(例如,使用前1周或更短、使 用前1天或更短、使用扣、時或更短、使用前ig分鐘或更 120445.doc 工375264 短、使用前1分鐘或更短)組合β 該拋光組合物亦可被提供為一濃縮物,其意欲在使用之 前藉由適宜量之液體載體對其稀釋。在此一實施例中,該 拋光組合物濃縮物可包括一液體載體,及(視需要)一定量 之其他組分;以便當組分藉由適宜量之液體載體稀釋該濃 縮物時,每種組分將以一上文所述之每種組分之適宜範圍 内之量存在於該拋光組合物中。舉例而言,每種組分可以 2倍(例如,3倍、4倍或5倍)於上述每一組分之濃度存在於 該拋光組合物,以便當用適當體積之液體載體(例如,分 別以一倍體積之液體載體、2倍體積之液體載體、3倍體積 之液體載體或4倍體積之液體載體)稀釋該濃縮物,則每一 組分將以上文所提及之量存在於該拋光組合物中。另外, 如熟悉此技術者將瞭解’該濃縮物可含有一適當份數之最 終拋光組合物申存在之液體載體,以保證聚醚胺及其他適 宜的添加劑(例如’磨料)至少部分地或全部地溶解或懸浮 於該濃縮物_。 具體而言,本發明之拋光一基板之方法適於結合一化 學·機械拋光(CMP)裝置使用。通常,此裝置包括:一平 臺’當使用時’該平臺會運動且具有一因執道、線性或圓 周運動產生的速度;一拋光墊,其與該平臺接觸且隨平臺 運動而移動;及一支座,其握持將藉由接觸並相對於拋光 墊表面移動來拋光之基板。藉由將該基板與該拋光墊及本 發明之拋光組合物(其通常置於該基板及該拋光墊之間)接 觸安置來發生該基板之拋光,其中該拋光墊相對於該基板 120445.doc •17- 1375264 運動以磨蝕該基板之一部分來拋光該基板β 較為合意地,該CMP裝置進一步包含一原位拋光端點偵 測系統’其多數係於此技術所習知。藉由分析自基板表面 反射的光或其它輻射來檢查並監視拋光過程之技術係此技 銜所習知。較為合意地,檢查或監視所拋光基板的拋光過 程進程可確定拋光終點,即,可確定何時終止一特定基板 之抛光過程。此等方法係闡釋於(例如)美國專利第 5,196,353號、美國專利第5 433 651號、第美國專利 5,609,511號、美國專利第5,643,〇46號、美國專利第 5,658,183號、美國專利第5,73〇,642號、美國專利第 5,838’447號、美國專利第5,872,633號、美國專利第 5,893,796號、美國專利第5,949,927號及美國專利第 5,964,643號中。 拋光係指移除一表面之至少一部分以拋光該表面。可實 施拋光以藉由移除擦痕、凹坑、凹陷及類似凹凸來提供一 具有經降低的表面粗糙度之表面,但亦可實施拋光以引入 或恢復以平面部分交又為特徵為之表面幾何圖形。 本發明之方法可用於拋光任何適宜的包括至少一層矽氧 化物之基板。該矽氧化物層可以一 50〇 A/min或更高(例 如’ 600 A/min或更高、7〇〇 A/min或更高、800 A/min或更 高、900 A/min或更高或者1〇〇〇 A/min或更高)之速率來移 除。該矽氧化物層可以一 4000 A/min或更低(例如,3800 A/min或更低、3700 A/min或更低、3500 A/min或更低、 3300 A/min或更低或者3000 A/min或更低)之速率來移 120445.doc • 18 - 1375264 除。因此,該石夕氧化物層可以500 A/min至4000 A/min(例 如,600 A/min 至 3700 人/min' 700 A/min 至 3500 人/min、 800 A/min至 3300 A/min 或者 1000 A/min 至 3000 A/min)之速 率自該基板上移除》 該基板可進一步包括至少一層鶴。該鶴層可以500 A/min或更高(例如,600 A/min或更高、700 A/min或更 高、800 A/min或更高、900 A/min或更高、1000 A/min或 更高、1500 A/min或更高或者2000 A/min或更高)之速率來 移除。該鎢層可以4000 A/min或更低(例如,3500 A/min或 更低、3000 A/min 或更低、2800 A/min 或更低、2500 A/min或更低或者2000 A/min或更低)之速率移除"因此, 該鎢層可以500 A/min至4000 A/min(例如,600 A/min至 3700 A/min、700 A/min 至 3500 A/min、800 A/min 至 3300 A/min或者1000 A/min至3000 A/min)之速率自該基板上移 除。
以下實例進一步說明本發明,但是,當然無論如何不能 視為限制本發明之範圍。 實例1 此實例表明在一拋光組合物中經溶膠-凝膠處理之膠體 二氧化矽微粒之大小及濃度與藉由此一化學-機械拋光組 合物所達到之矽氧化物及鎢之移除速率之間之關係。 藉由九種不同組合物來拋光一 PETEOS晶圓及一鎢晶 圓。該等拋光組合物中之每一者包含2 wt.%、7 wt.°/。或12 wt.%之經溶膠-凝膠處理之膠體二氧化矽微粒(購自Nalco 120445.doc -19· 1375264
Co.)、170 ppm之丙二酸、0.02071 wt·%之 Fe(N〇3)3.9H2〇 及1250 ppm之TB AH,且被調節至3.3之pH值。每一拋光組 合物之經溶膠-凝膠處理之膠體二氧化矽微粒之平均初級 粒度係 7 nm、25 nm或 80 nm。
At 4k l Λ—入 *17一 Λ 办 ΛΑ 功疗入,土 杰· / X /— :一、tZ TIT: TT7C* -tg: — rn. ^0* ^ ^ ^ 341 \r\/ iniu) r i 移除速率(人/min),結果如表1所示。 表1 抛光 組合物 二氧化 矽粒度 (nm) 二氧化矽 微粒濃度 (wt.%) PETEOS 移除速率 (A/min) 鎢移除速 率(A/min) 平均 PETEOS 移除速率 (A/min) 1A(比較物) 7 2 601.8 3867.9 638.04 1B(比較物) 7 7 771.1 3810.6 1C(比較物) 7 12 541.2 3535.9 1D(本發明) 25 2 598.9 3261.6 1525.82 1E(本發明) 25 7 1618.3 4107.8 1F(本發明) 25 12 2360.3 4459.5 1G(比較物) 80 2 632.4 4122.0 964.13 1H(比較物) 80 7 1040.2 3249.4 11(比較物) 80 12 1219.8 3007.3 # 該平均PETEOS移除速率(A/min)係藉由平均該等膠體二
氧化矽微粒每一平均磨料初級粒度之三種不同濃度之移除 速率來計算。自表1所示之資料可顯見,與7 nm或80 nm之 大小時相反,當該等膠體二氧化矽微粒具有25 nm之大小 時該矽氧化物移除速率大致係較高,同時保持一高速率之 鎢拋光率。 表1所列舉之資料亦說明相對於三種不同組合物之膠體 二氧化矽微粒濃度之矽氧化物移除速率(A/min)。自表1列 120445.doc •20- 1375264 舉之資料中可顯見,當該等膠體二氧化矽微粒具有25 nm 之大小且以一高於2 wt·%之濃度(例如,在7-12 wt.%之濃 度下)存在時,該矽氧化物移除速率大體上係較高。 實例2 • * «Σ3 > * · ·»· /— A .1 / _1» rtilS *b7 r&a -rrX> w C^9 SMb 一 此I例5兄口月一孤尤組贫初T规浴膠规膠处妙姐一 氧化矽微粒之大小與藉由此一化學-機械拋光組合物所達 到之矽氧化物及鎢之移除速率之間之關係。 藉由三種不同組合物抛光一 PETEOS晶圓及一鎢晶圓。 該等拋光組合物中之每一者包含8 wt.%之經溶膠-凝膠處理 之膠體二氧化石夕微粒(購自Fuso Chemical Co.)、93 ppm之 丙二酸、0.0723 wt.% 之 Fe(N03)3,9H2〇 及 1250 ppm 之 TBAH,且被調節至3.3之pH值。每種拋光組合物之經溶 膠-凝膠處理之膠體二氧化矽微粒之平均初級粒度係15 nm、 25 nm或 35 nm ° 針對每種組合物來確定鎢移除速率(A/min)及PETEOS移 除速率(A/min),結果如表2所示。 表2 拋光組合物 二氧化碎粒度(nm) PETEOS移除速率 (A/min) 鎢移除速率 (A/min) 2A(本發明) 15 152.5 3361.2 2B(本發明) 25 2989.2 3276.8 2C(本發明) 35 2366.4 2952.2 表2所列舉之資料說明相對於各種組合物之膠體二氧化 石夕微粒之平均初級粒度(nm)之PETEOS的移除速率 (A/min)。自表2列舉之資料中可顯見,與15 nm或3 5 nm之 120445.doc -21 - 1375264 大小時相反,該等膠體二氧化矽微粒具有25 nm之大小 時’該矽氧化物移除速率大致係較高,同時保持一高速率 之鎢拋光率。儘管使用購自不同製造商(即,Naic〇及Fus〇) 之經溶膠-凝膠處理之膠體二氧化矽微粒,但表2中列舉之 資枓係相似於實例i表1中列舉之資料„考量開始材料處 理條件及來自Nalco及Fuso之微粒形態之不同,另人驚奇 地係’來自兩家製造商之25 nm膠體二氧化矽微粒均展現 大體上高於其他微粒大小之矽氧化物移除速率。此等結果 指示膠體二氧化矽微粒之初級粒度在增加矽氧化物移除速 率方面之重要性。 實例3 此實例說明包含具有25 nm平均大小之經溶膠-凝膠處理 膠體二氧化矽微粒之一拋光組合物的pH值與藉由此一化 學-機械拋光組合物所達到之矽氧化物及鎢之移除速率之 間之關係。 藉由六種不同組合物拋光一 PETE〇s晶圓及一鎢晶圓, 該等組合物尹之每一者含有5 wt%<經溶膠·凝膠處理之膠 體二氧化矽微粒(購自Fuso,平均初級粒度25 nm)、〇〇398 wt.% 之 Fe(N〇3)3.9H2〇、5〇〇 ppm之甘氨酸及 ι〇〇〇 卯爪之 TBAH。此六種不同之組合物含有三種不同量之丙二酸, 且處於或2.5或3.3之pH值下。 針對每種組合物來確定鎢移除速率(A/min)及pETE〇s移 除速率(A/min),結果如表3所示。 I20445.doc -22· 1375264 表3 抛光組合物 pH 丙二酸濃度 (ppm) PETEOS移除速率 (A/min) 鎢移除速率 (A/min) 3 A(本發明) 2.5 85.3 1081 1182 3B(本發明) 3.3 85.3 1856 1301 3C(本發明) 2.5 153.6 1117 1089 3D(本發明) 3.3 153.6 2121 1260 3E(本發明) 2.5 221.9 1288 1136 3F(本發明) 3.3 221.9 2039 1175 自表3列舉之資料中可顯見,與2.5之pH值相反,當該拋
光組合物具有3.3之pH值時,矽氧化物移除速率大致係較 高,同時保持一高速率之鎢拋光率。此對於所有經評價之 丙二酸之濃度均如此。 此外,使用一含有5 wt.%經溶膠-凝膠處理之膠體二氧 化石夕微粒(賭自Fus〇,25 nm平均初級粒度)、0.0 1 664 wt.% 之?6(>1〇3)3.9112〇、1500卩卩111之甘氨酸、250卩卩111之丙二酸 及1742.7 ppm之K2S04,且具有2.3 pH值之拋光組合物來拋 光一 PETEOS晶圓及一鎢晶圓。鎢移除速率係3773 A/min 及PETEOS移除速率係1351 A/min。 應注意,在上述拋光組合物中所含之鐵催化劑於大於4 之pH值下變得不穩定。 120445.doc -23 -

Claims (1)

1375264 丨匕 /齡(月〆 修兔)正本 第096115068號專利申請案 中文申請專利範圍替換本(1〇〇年6月) 十、申請專利範圍: 1. 括 種將-基板m機械方式拋光之方^ (〇提供一包括至少一層矽氧化物及至少一鎢層之基 (11)提供一化學-機械拋光組合物,其包括: (a) —液體載體, (b) 懸浮於該液體載體中之具有20 nm至30 nm平均 初級粒度之溶膠-凝膠膠體二氧化矽磨料微 粒,其中該等磨料微粒係以佔該液體載體及任 何溶解或懸浮於其中之組分之重量之5 wt %或 更问的1存在組分, (c) 一氧化劑,及 (d) —錯合劑, (iH)用一拋光塾及該化學_機械拋光組合物接觸該基一 板, (iv) 相對於該拋光墊及該化學機械拋光組合物運動該、 基板,及 (v) 磨蝕該矽氧化物之至少一部分以拋光該基板。 2. 如請求項丨之方法,其中該液體載體包括水。 3. 如响求項!之方法,其中該等磨料微轉具有2〇打爪至28 nm之平均初級粒度。 4_如凊求項1之方法,其中該等磨料微粒具有25 nm之平均 初級粒度。 120445-1000617.doc 1375264 5.如吻求項丨之方法,其中該等磨料微粒係以佔該液體載 體及任何溶解或懸浮於其中之組分之重量之7 wt %至 3〇 Wt,%或更高的量存在組分。 6.如明求項5之方法,其中該液體載體包括水。 7·如求項6之方法,其中該等磨料微粒具有20 rim至28 nm之平均初級粒度。 8.如喷求項7之方法,其中具有任何組分溶解或懸浮於其 中之組分之該液體載體具有5或更低之1)11值。 月求項1之方法,其中具有任何組分溶解或懸浮於其 中之組分之該液體載體具有7或更低之pH值。 10 _如請求項1 i 之方·法’其中具有任何溶解或懸浮於其中之 組分之該液體载體 11. 如請求項i之方法 組分之該液體栽體 12. 如5奮求項1之方法 組分之該液體载體 13. 如凊求項1之方法 具有5或更低之pH值。 ’其中具有任何溶解或懸浮於其中之 具有4或更低之卩只值。 ’其中具有任何溶解或懸浮於其中之 具有3.5或更低之pH值。 ’其中具有任何溶解或懸浮於其中之 組分之該液體載體具有2至35之1)^1值。 14. 如凊求項1之方法 組分之該液體載體 15. 如凊求項1之方法 16. 4〇〇〇 A/min之移除料自該基板上移 ’其中具有任何溶解或懸浮於其中之 具有2.3至3.3之pH值。 ’其中該石夕氧化物被以500 A/min至 除 如清求項1之方沐 #上 其中§亥妙氧化物被以1000 A/min至 3〇〇〇 A/min之移除速率自該基板上移除。 120445-1000617.doc 1375264 17.如請求項1之方法,其中該石夕氧化物被以1000 A/min至 3000 A/min之移除速率自該基板上移除。
120445-1000617.doc
TW096115068A 2006-06-29 2007-04-27 Silicon oxide polishing method utilizing colloidal silica TWI375264B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/478,004 US20080220610A1 (en) 2006-06-29 2006-06-29 Silicon oxide polishing method utilizing colloidal silica

Publications (2)

Publication Number Publication Date
TW200807533A TW200807533A (en) 2008-02-01
TWI375264B true TWI375264B (en) 2012-10-21

Family

ID=38894886

Family Applications (1)

Application Number Title Priority Date Filing Date
TW096115068A TWI375264B (en) 2006-06-29 2007-04-27 Silicon oxide polishing method utilizing colloidal silica

Country Status (10)

Country Link
US (1) US20080220610A1 (zh)
EP (1) EP2038916A4 (zh)
JP (1) JP5596344B2 (zh)
KR (1) KR101378259B1 (zh)
CN (1) CN101479836A (zh)
IL (1) IL195699A (zh)
MY (1) MY151925A (zh)
SG (1) SG172740A1 (zh)
TW (1) TWI375264B (zh)
WO (1) WO2008005164A1 (zh)

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2237311A4 (en) * 2008-02-01 2011-11-30 Fujimi Inc POLISHING COMPOSITION AND POLISHING METHOD THEREFOR
FR2929756B1 (fr) * 2008-04-08 2010-08-27 Commissariat Energie Atomique Procede de formation de materiau poreux dans une microcavite ou un micropassage par polissage mecano-chimique
US20100243950A1 (en) * 2008-06-11 2010-09-30 Harada Daijitsu Polishing agent for synthetic quartz glass substrate
JP5407188B2 (ja) * 2008-06-11 2014-02-05 信越化学工業株式会社 合成石英ガラス基板用研磨剤
KR101279971B1 (ko) * 2008-12-31 2013-07-05 제일모직주식회사 구리 배리어층 연마용 cmp 슬러리 조성물, 이를 이용한 연마 방법, 및 그 연마방법에 의해 제조된 반도체 소자
US20100164106A1 (en) * 2008-12-31 2010-07-01 Cheil Industries Inc. CMP Slurry Composition for Barrier Polishing for Manufacturing Copper Interconnects, Polishing Method Using the Composition, and Semiconductor Device Manufactured by the Method
US8119529B2 (en) * 2009-04-29 2012-02-21 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Method for chemical mechanical polishing a substrate
US8247328B2 (en) * 2009-05-04 2012-08-21 Cabot Microelectronics Corporation Polishing silicon carbide
US8232208B2 (en) 2010-06-15 2012-07-31 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Stabilized chemical mechanical polishing composition and method of polishing a substrate
US8568610B2 (en) 2010-09-20 2013-10-29 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Stabilized, concentratable chemical mechanical polishing composition and method of polishing a substrate
US8513126B2 (en) 2010-09-22 2013-08-20 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Slurry composition having tunable dielectric polishing selectivity and method of polishing a substrate
CN102800580B (zh) * 2011-05-25 2015-07-08 中芯国际集成电路制造(上海)有限公司 抛光方法以及栅极的形成方法
WO2015200660A1 (en) * 2014-06-25 2015-12-30 Cabot Microelectronics Corporation Colloidal silica chemical-mechanical polishing composition
ES2756948B2 (es) * 2020-02-04 2022-12-19 Drylyte Sl Electrolito solido para el electropulido en seco de metales con moderador de actividad

Family Cites Families (48)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4944836A (en) * 1985-10-28 1990-07-31 International Business Machines Corporation Chem-mech polishing method for producing coplanar metal/insulator films on a substrate
US4671851A (en) * 1985-10-28 1987-06-09 International Business Machines Corporation Method for removing protuberances at the surface of a semiconductor wafer using a chem-mech polishing technique
US4789648A (en) * 1985-10-28 1988-12-06 International Business Machines Corporation Method for producing coplanar multi-level metal/insulator films on a substrate and for forming patterned conductive lines simultaneously with stud vias
US4910155A (en) * 1988-10-28 1990-03-20 International Business Machines Corporation Wafer flood polishing
US5196353A (en) * 1992-01-03 1993-03-23 Micron Technology, Inc. Method for controlling a semiconductor (CMP) process by measuring a surface temperature and developing a thermal image of the wafer
US6614529B1 (en) * 1992-12-28 2003-09-02 Applied Materials, Inc. In-situ real-time monitoring technique and apparatus for endpoint detection of thin films during chemical/mechanical polishing planarization
US5658183A (en) * 1993-08-25 1997-08-19 Micron Technology, Inc. System for real-time control of semiconductor wafer polishing including optical monitoring
US5433651A (en) * 1993-12-22 1995-07-18 International Business Machines Corporation In-situ endpoint detection and process monitoring method and apparatus for chemical-mechanical polishing
JP3270282B2 (ja) * 1994-02-21 2002-04-02 株式会社東芝 半導体製造装置及び半導体装置の製造方法
JP3313505B2 (ja) * 1994-04-14 2002-08-12 株式会社日立製作所 研磨加工法
US5964643A (en) * 1995-03-28 1999-10-12 Applied Materials, Inc. Apparatus and method for in-situ monitoring of chemical mechanical polishing operations
US5893796A (en) * 1995-03-28 1999-04-13 Applied Materials, Inc. Forming a transparent window in a polishing pad for a chemical mechanical polishing apparatus
US5838447A (en) * 1995-07-20 1998-11-17 Ebara Corporation Polishing apparatus including thickness or flatness detector
US5741626A (en) * 1996-04-15 1998-04-21 Motorola, Inc. Method for forming a dielectric tantalum nitride layer as an anti-reflective coating (ARC)
US5872633A (en) * 1996-07-26 1999-02-16 Speedfam Corporation Methods and apparatus for detecting removal of thin film layers during planarization
FR2761629B1 (fr) * 1997-04-07 1999-06-18 Hoechst France Nouveau procede de polissage mecano-chimique de couches de materiaux semi-conducteurs a base de polysilicium ou d'oxyde de silicium dope
US6080216A (en) * 1998-04-22 2000-06-27 3M Innovative Properties Company Layered alumina-based abrasive grit, abrasive products, and methods
US6355075B1 (en) * 2000-02-11 2002-03-12 Fujimi Incorporated Polishing composition
KR100481651B1 (ko) * 2000-08-21 2005-04-08 가부시끼가이샤 도시바 화학 기계 연마용 슬러리 및 반도체 장치의 제조 방법
DE10063491A1 (de) * 2000-12-20 2002-06-27 Bayer Ag Saure Polierslurry für das chemisch-mechanische Polieren von SiO¶2¶-Isolationsschichten
JP2003086548A (ja) * 2001-06-29 2003-03-20 Hitachi Ltd 半導体装置の製造方法及びその研磨液
JP4954398B2 (ja) * 2001-08-09 2012-06-13 株式会社フジミインコーポレーテッド 研磨用組成物およびそれを用いた研磨方法
JP2003197573A (ja) * 2001-12-26 2003-07-11 Ekc Technology Kk メタル膜絶縁膜共存表面研磨用コロイダルシリカ
US6776810B1 (en) * 2002-02-11 2004-08-17 Cabot Microelectronics Corporation Anionic abrasive particles treated with positively charged polyelectrolytes for CMP
US20030162398A1 (en) * 2002-02-11 2003-08-28 Small Robert J. Catalytic composition for chemical-mechanical polishing, method of using same, and substrate treated with same
US20030162399A1 (en) * 2002-02-22 2003-08-28 University Of Florida Method, composition and apparatus for tunable selectivity during chemical mechanical polishing of metallic structures
EP1489650B1 (en) * 2002-03-04 2010-07-14 Fujimi Incorporated Polishing composition and method for forming wiring structure
JP4083528B2 (ja) * 2002-10-01 2008-04-30 株式会社フジミインコーポレーテッド 研磨用組成物
JP3984902B2 (ja) * 2002-10-31 2007-10-03 Jsr株式会社 ポリシリコン膜又はアモルファスシリコン膜研磨用化学機械研磨用水系分散体およびこれを用いた化学機械研磨方法ならびに半導体装置の製造方法
US20040123528A1 (en) * 2002-12-30 2004-07-01 Jung Jong Goo CMP slurry for semiconductor device, and method for manufacturing semiconductor device using the same
KR100507369B1 (ko) * 2002-12-30 2005-08-05 주식회사 하이닉스반도체 반도체소자의 폴리 플러그 형성방법
JP2004356327A (ja) * 2003-05-28 2004-12-16 Sumitomo Bakelite Co Ltd 研磨用組成物
JP2004356326A (ja) * 2003-05-28 2004-12-16 Sumitomo Bakelite Co Ltd 研磨用組成物
JP4130614B2 (ja) * 2003-06-18 2008-08-06 株式会社東芝 半導体装置の製造方法
TWI291987B (en) * 2003-07-04 2008-01-01 Jsr Corp Chemical mechanical polishing aqueous dispersion and chemical mechanical polishing method
US20050097825A1 (en) * 2003-11-06 2005-05-12 Jinru Bian Compositions and methods for a barrier removal
US6964600B2 (en) * 2003-11-21 2005-11-15 Praxair Technology, Inc. High selectivity colloidal silica slurry
KR100596834B1 (ko) * 2003-12-24 2006-07-04 주식회사 하이닉스반도체 반도체소자의 폴리실리콘 플러그 형성방법
JP2005244123A (ja) * 2004-02-27 2005-09-08 Fujimi Inc 研磨用組成物
EP1586614B1 (en) * 2004-04-12 2010-09-15 JSR Corporation Chemical mechanical polishing aqueous dispersion and chemical mechanical polishing method
US7316976B2 (en) * 2004-05-19 2008-01-08 Dupont Air Products Nanomaterials Llc Polishing method to reduce dishing of tungsten on a dielectric
TWI363796B (en) * 2004-06-14 2012-05-11 Kao Corp Polishing composition
JP4951218B2 (ja) * 2004-07-15 2012-06-13 三星電子株式会社 酸化セリウム研磨粒子及び該研磨粒子を含む組成物
US20060124026A1 (en) * 2004-12-10 2006-06-15 3M Innovative Properties Company Polishing solutions
US20080171441A1 (en) * 2005-06-28 2008-07-17 Asahi Glass Co., Ltd. Polishing compound and method for producing semiconductor integrated circuit device
US8211193B2 (en) * 2005-09-26 2012-07-03 Fujifilm Planar Solutions, LLC Ultrapure colloidal silica for use in chemical mechanical polishing applications
JP2007180451A (ja) * 2005-12-28 2007-07-12 Fujifilm Corp 化学的機械的平坦化方法
JP2008117807A (ja) * 2006-10-31 2008-05-22 Fujimi Inc 研磨用組成物及び研磨方法

Also Published As

Publication number Publication date
CN101479836A (zh) 2009-07-08
MY151925A (en) 2014-07-31
EP2038916A1 (en) 2009-03-25
WO2008005164A1 (en) 2008-01-10
JP2009543337A (ja) 2009-12-03
TW200807533A (en) 2008-02-01
KR101378259B1 (ko) 2014-03-25
SG172740A1 (en) 2011-07-28
US20080220610A1 (en) 2008-09-11
IL195699A0 (en) 2009-09-01
EP2038916A4 (en) 2011-04-13
JP5596344B2 (ja) 2014-09-24
IL195699A (en) 2014-08-31
KR20090024195A (ko) 2009-03-06

Similar Documents

Publication Publication Date Title
TWI375264B (en) Silicon oxide polishing method utilizing colloidal silica
JP6280254B2 (ja) 研磨組成物およびアミノシランを用いて処理された研削剤粒子の使用方法
TWI428436B (zh) 拋光組合物及使用經胺基矽烷處理之研磨顆粒的方法
KR102289577B1 (ko) 혼합된 연마제 연마 조성물
TWI398473B (zh) 用於拋光在鑲嵌結構中之鋁/銅及鈦之組合物
TWI414573B (zh) 半導體材料之cmp之組合物及方法
JP5576112B2 (ja) ヨウ素酸塩を含有する化学機械研磨用組成物及び化学機械研磨方法
JP2011508423A (ja) 金属除去速度を制御するためのハロゲン化物アニオン
EP2069452B1 (en) Onium-containing cmp compositions and methods of use thereof
JP5313866B2 (ja) 金属の除去速度を制御するためのハロゲン化物アニオン
TW200906999A (en) Ruthenium CMP compositions and methods
EP1924666B1 (en) Abrasive-free polishing system
CN111183195B (zh) 用于钨磨光应用的经表面处理的研磨剂颗粒
TWI846844B (zh) 增進化學機械拋光(cmp)漿料中粒子分散之添加劑

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees