TWI374172B - Selective slurry for chemical mechanical polishing - Google Patents

Selective slurry for chemical mechanical polishing Download PDF

Info

Publication number
TWI374172B
TWI374172B TW094143315A TW94143315A TWI374172B TW I374172 B TWI374172 B TW I374172B TW 094143315 A TW094143315 A TW 094143315A TW 94143315 A TW94143315 A TW 94143315A TW I374172 B TWI374172 B TW I374172B
Authority
TW
Taiwan
Prior art keywords
weight
hydrazine
aqueous solution
barrier
acid
Prior art date
Application number
TW094143315A
Other languages
English (en)
Other versions
TW200626690A (en
Inventor
Jinru Bian
Original Assignee
Rohm & Haas Elect Mat
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Rohm & Haas Elect Mat filed Critical Rohm & Haas Elect Mat
Publication of TW200626690A publication Critical patent/TW200626690A/zh
Application granted granted Critical
Publication of TWI374172B publication Critical patent/TWI374172B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/02Polishing compositions containing abrasives or grinding agents
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]

Landscapes

  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Finish Polishing, Edge Sharpening, And Grinding By Specific Grinding Devices (AREA)

Description

1374172 ‘九、發明說明: 【發明所屬之技術領域】 本發明係關於半導體晶圓的研磨,更具體地,係關於 供移除晶圓層,例如,在另一層(例如低_k介電層)存在的 情況下之阻障材料,用的組成物及方法。 【先前技術】 典型地,半導體基材具有矽基底層及含有多個經配置 •以在該介電層内形成電路互連件(cireuit int⑽厭…) 圖案的溝槽之介電層。這些溝槽圖案不是具有金屬鎮嵌結 構(damascene structure)就是具有雙重金屬鑲嵌結構 '(dUal =maSCene)。此外,通常都以一個或多達三個或更 -多個覆蓋層(capping layers)塗覆具有以阻障層覆蓋之覆 蓋詹或具有覆蓋層之該溝槽圖案化的介電層。最後,以金 屬層覆蓋該阻障層並且填滿該圖案化的溝槽。該金屬層將 形成連接多個介電質區域並且形成積體電㈣電路互連 瘳件。 該覆蓋層可供用於不同的目的。舉例來說,覆蓋層, 例如’碳氮化矽塗覆介電質,可作為研磨阻擋物以防止底 下==質在研磨的期間被移除。該碳氮切的氮濃度隨 ”廠商而有所不同;且其可含有達到約5〇個原子百分比 二::=的氮化物含量為零,那麼該阻擋層就具碳化 矽的化學性質。此外,二氧化 0虱化矽層或該二層的 ''合:場正該阻措層上方的形貌。典型地,以阻障層,例 如“繼阻障層’塗佈該覆蓋層並且以金屬導電層覆 93308 5 •蓋該阻障層以形成互連金屬。 化學機械平坦化或CMP製 驟。舉例來說,初始平Μ步H吊都包括多個研磨步 金屬層使該晶圓平拍化底下的阻障介電層移除 β > fa] b±j^ yv/. s 苐V驟的研磨移除該金屬 2叫在含金屬填充溝槽的晶圓 :件該:滑:平坦表面提供給經研磨的表面二:互 的研磨步驟傾向於在較高的速率下移除過 連孟屬,例如銅。經過該第一步驟的研磨之後,第 :驟:研磨通常都移除留在該半導體晶圓上的阻障物。 2 驟的研磨自其底下的介電層移除該阻障物以在該 二電層上提供經平坦研磨的表面。該第二步驟的研磨可停 f覆盖層i,或移除所有的覆蓋層或移除部分底下的介電 層。 不幸地’ CMP製程經常都造成來自電路互連件之不欲 金屬的過量移除或「凹陷」(dishing)。此凹陷可能導源於 籲第-步驟的研磨&第二步驟的研磨二纟。凹陷超過可接受 的程度將造成電路互連件的尺寸損失。這些在該電路互連 件田中的薄層區域將使電氣訊號衰減並且有損雙重金屬鑲 嵌結構的連續製造。除了凹陷以外’該等CMp製程經常還 都會按習知稱為「侵蝕」(er〇si〇n)的效應而移除過量的介 •電層。鄰近該互連金屬發生的侵蝕會將尺寸缺陷導入該電 路互連件中。再者,侵蝕對低-k及超低-k介電質而言為特 有的問題。某種程度上「侵蝕」的效應類似於「凹陷」的 效應’這些缺陷將造成電氣訊號的衰減並且損及後續的雙 6 93308 ‘重金屬鑲嵌結構的製造β 等移除該阻障層及任何不想要的覆蓋層 盍層阻擋物,例如碳氮化矽阻浐 曼弟覆
製程損及該介電質。此阻撐層^都;^都可防止該CMP 而保護底下的介電質以避免二::c率 他覆蓋層(例如,氮化钱。έ亥阻障及其 阻幹#的斤、_ h 乳切)的移除速率,對,該 選擇!的例子了達到本中請案的 s.:、、下、母'刀釦的埃數為單位測得的比例。 要使用:離::’在W〇專利編號°3/〇7267°中,揭示視需 .,、陰離子型、陽離子型及兩性離子型界面 制低=良選擇性。然而,本申請案並未揭示可用於限 制低-k介電質侵蝕的指定配方。 "對選擇性地移除阻障材料及覆蓋材料(例如,氮化石夕及 7:化石夕)而不移除過量的介電層,例如低-k介電層,之 • B 有未7人滿意的需求。此外’還對於研磨半導體 •=、水液之需求如下:移除阻障材料;降低互連件凹陷, ^低’1電質侵姓;避免該介電質的剝離;及在有或沒有碳 亂化矽阻擋層的情況下操作。 【發明内容】 樣1'中’本發明提供一種在低_k介電質存在的情 況下用於選擇性移除的水溶液,包含: 〇至25重量%的氧化劑; 〇. 00002至5重量%的多成分界面活性劑,該多成分界 面活!·生^具有疏水性末端、非離子型親水性部分及陰離子 7 93308 1374172 t親水性部分,該疏水性末端具有6至% 離子型親水性部分具有1〇至_個碳原子; 亥非 〇至15重量%的非鐵金屬用抑制劑; 〇至50重量%的研磨料; 〇至20重量%之非鐵金屬用錯合劑 水。 於另-樣態中’本發明提供—種在低切電質存 h況下用於選擇性移除的水溶液,包含: 、 〇至20重量%的氧化劑; 0.00005至2重量%的多成分界 劑具有疏水性末端、非離子型親水二=界 .2水性部分’該疏水性末端具有8至2。個 離子型親水性部分具有20至200個碳原子; " 〇. 001至15重量%的非鐵金屬用抑制劑; 0至40重量%的研磨料; > 0至1G重;f %之非鐵金屬用錯合劑;及 水。 T另-樣態中,本發明提供一種自 之至少一部公夕士^ A 土刊不夕丨示臂 的半驟:包含利用研磨溶液研磨半導體基材 的步驟,該溶液包含: 〇至25重量%的氧化劑; 面舌姊I’2至5重量%的多成分界面活性劑,該多成分界 疏水性末端、非離子型親水性部分及陰離子 。戸刀,该疏水性末端具有6至3〇個碳原子且該非 8 93308 離子性t分具有10至300個碳原子; 5重量%的非鐵金屬用抑制劑; 至50重量%的研磨料; 0至20重量%的非鐵金屬用錯合劑;及 水。 【.實施方式】 化及層材料’例如⑽、碳氮 ’的選㈣,η Γ 其他含鈕的材料提供意想不到 、’ 5 #不會移除過量的低-k材料,例如摻(石夕)碳 面^ι·"ι CDQ)(或稱為含碳氧化物)。該漿液仰賴多成分界 Γ劑選擇性地移除非低-k層同時停止在低-k層上戍 H-部分的低-]^層。此選擇性促使互連金屬的凹陷和低 電層的侵料低。再者,㈣液可移除阻障材料及覆 =層,例如’氮化石夕、有機覆蓋物(〇rganic啊)及介電 質,而不會剝離或使脆性低_k介電層,例如來自半導體晶 圓之碳摻雜的氧化物,脫層。 界面活性劑或界面活性劑用於本說明書時表示,存在 的時候’具有吸附晶圓基材的表面或界面或可改變該晶圓 基材的表面或界面之表面自由能的性質。術語「界面」為 任何二不相混合相之間的邊界。術語「表面」表示一個相 為氣體,通常為空氣,之界面。界面活性劑通常作用於降 低界面的自由能。 該多成分界面活性劑具有習知充當疏水性末端之對水 具微弱吸引力的第一結構部分之分子結構、屬於對水具吸 93308 9 13/41/2 .I二=離子型親水性部分的第二結構部分及對水具強吸 引力的陰離子型親水性基告 溶液㈣子化時具負離子電荷Γ亥㈣子载水性基團在 /瓜Jc I·生基團通常為具有適合水性溶 =化物或嫩鍵。具體而言,該疏水性二 碳奸總數七线,該疏水性㈣具有8至20 2原子,而且最佳地,具有12至16個碳原子。該疏水 可任意為⑽、分枝鏈或環狀鏈。該疏水性 =和鏈、不飽和鏈或含㈣香族基。特定的 自脂肪族醇的直鏈聚合物。 巧订生 該非離子型親水性部分含有10至300 <固碳原子。較佳 地’该非離子魏水性部分含有2G至聊個碳原子 地’該非離子型親水性部分含有25至⑽個碳原子^ 離子型親水性部分可任意為直鏈、分枝鏈或環狀鏈。該 难子型親水性料可為飽和鏈、*飽和鏈或含有芳香族 2乙=合的非離子型親水性部分之特定例子為直鏈的聚環 陰離子部分的例子包括含有緩酸、石黃酸、硫酸、膦龄 及其鹽類其中之至少—者或其混合物的陰離子部分: 的陰喊子部分含有選自m酸根(敌酸鹽)、石黃酸根(續酸 鹽)、硫酸根(硫酸鹽)或磷酸根(磷酸及聚磷酸酯)中之至小 一種化學基團。該界面活性劑的親水性部分可含有—個二 多個氮原子或-或多個氧原子或其混合物,但較佳地含I 至少-個可離子化的基團以提供溶解度並提供對帶負電荷 93308 1374172 表面(例如二氧化矽表面)的排斥力^ 典型地’南選擇性可藉由UGGG2至5重量%的多成分 界面活性劑之添加而達到。除非另行明確地指示,否則本 說明書所的濃度都以重量百分比表示。再者,所揭示的範 圍包括組合及部分組合的範圍,而且都限於範圍以内。較 佳地,該界面活性劑為2重量%;且最佳地,該 界面活性劑為〇 . 〇 〇 0 1至1重量%。
/、里地以叙、鉀、四價銨或納鹽的形式添加這些界 面活性劑。最佳地’以銨鹽的形式添加該界面活性劑:獲 得南純度的配方。 該多成分界面活性劑較佳地可在比抑制阻障膜,例如 组(Ta)或I化钽(TaN),的移除速率更大的差異速率下抑制 摻碳的氧化物類(_的移除速率(按每分鐘的埃數 測量我們將薄mx的移除速率之相對抑制率(Δχ)定 義f ΔΧ = (Χ〇-Χ)/Χ。’其"。及χ代表在界面活性劑的添加 ^剛及之後Χ_的移除速率,按每分鐘的埃數為單位測 篁’本發明所揭示的界面活性劑較佳地滿足下列方程式 用丁aN作為例子)中之至少一者:△(_)〉△(⑽,制 13. 8千帕(2 psi)之正交於晶圓所測得的微孔型聚胺基甲 酸醋研磨墊壓力與實施例的條件測量。舉例來說,利:具 有不含界面活性劑的組成物之1C 101 (^研磨墊在13 8千 帕的昼力及實施例的條件下研磨時可提供對摻碳氧化物而 言每分鐘500埃及對氮化组而言每分鐘5〇〇埃的控制研磨 速率㈤。接著添加該多成分界面活性劑將降低對摻碳氧 93308 1374172 ..化物而言在相同條件下的研磨速率至每分鐘300埃,而且 對TaN的研磨速率則必需大於每分鐘3⑽埃以滿足上述的 選擇性方程式。
調整PH及氧化劑濃度將促使含亞胺及肼衍生的化合 物之研f溶液具有提高的阻障物移除速率。此外,該溶液 方法還可提供無法預期的選擇性及控制供移除阻障材料 之用:視需要’該溶液根據選自含亞胺及餅衍生的化合物 及其此合物之群組的阻障物移除劑以選擇性地移除阻障材 .左彳如έ t及含鈦的阻障材料。該溶液可移除阻障材料 伴隨降,的介電質侵餘及降低的金屬互連件,例如銅,之 凹,、钕蝕及到傷。再者’該溶液移除鈕阻障材料而不會 亲J離或使來自半導體晶圓之低_k介電層脫層。此外,該溶 液還可對於由原石夕酸四乙酯(聰)前驅物所沈積的二氧化 矽形成之硬質遮罩具有控制的TE〇s移除速率。 具體而言’該溶液包括阻障物移除劑以移除阻障材 二例如’、氮化组、氮化m化及其他含组的阻障材 =儘管該料在㈣μ的情況下對於含鈦的阻障材料很 二但該溶液對於含鈕的材料特別有效。為了達到本說 金二二:含㈣材料包括组,為主的合金及組的 “勿’例如鈕的碳化物、氮化物及氧化物。該漿 :由圖案化半導體晶圓中移除含鈕阻障物最為有效。 較佳的亞胺衍生物包括下列式⑴的化合物:
93308 12 1374172
R - C = NH
R7為烴基。 較佳的肼衍生物包括下列式(11)的化合物: Η、-NH2、烴基、胺基、羧 石’IL基或石西基及- 〇R7,1中 (Π) -OR7、-NH2、煙基、 r3r4n-nrsr6 式中R、R、R及R6獨立地為_H、一〇r7、一 羧基、醯亞胺基、偶氮基、氰基、硫基或砸基。 亞胺衍生物的例子包括乙脒、乙脒鹽、乙脒衍生物、 ,精胺酸、精胺酸鹽、精胺酸衍生物、甲脒、甲脒鹽、甲脉 衍生物、胍衍生物、胍鹽及其混合物。較佳之式(1)所示的 亞胺衍生物包.括,舉例來說,乙脒鹽酸鹽、胺基胍颦醆鹽、 精胺酸、甲脒、甲脒亞磺酸、甲脒醋酸鹽、丨,3_二笨基胍、 _卜甲基-3-硝基胍、胍鹽酸鹽、四曱基胍、2, 2-偶氮雙(_ 甲基丙脒)二鹽酸鹽、胍硫酸鹽、胍醋酸鹽、胍碳酸鹽、脈 硝酸鹽及其混合物。 較佳之式(11)所示的讲衍生物包括,舉例來說,甲辞 .肼、乙醯肼、胺基脲鹽酸鹽、1,2-二甲醯肼、N-甲基甲酸 •肼(methy lhydrazino-carboxy 1 ate)、草二醯讲、丙啊啡 (acetone azine)及曱醯肼及其混合物。 式(I )所示的亞胺衍生的化合物較佳地含有充當R 1 & R之推電子(electron-donat ing)的取代基,且不含拉電子 93308 13 1374172 -(electron-w,^ 〇 ^ ? ^ 之-為推笔子的取代基’而且另—個取代基 就 推電子的取代基。若在亞胺衍生化合物中含有兩個== 的取代基,料取代基可為相同,或它們可為不同。 式(II)所示的亞胺衍生的化合物較佳地含有讲 卿H2),並且含有不多於一個的拉電子的取代基。:二 Μ二者皆為氮,或當R5及R6二者皆為氯時則提供的田是 肼官能基。 7疋 為了達到本說明書的目的,術語「推電子」表示 鍵結到物質的化學基團’該化學基團會將電子密度轉移到 該物m Careym Sundberg,在高㈣機化學, A部分:結構與機構,第3版,㈣白金出版社(i9 第·頁及第546 S561I中提供對於推電子的取代美更 詳細的說明。該亞㈣生的化合物具有足以將電子密二 移到該物質以在該取代基上建構測得到的局部負電荷之推 電子的取代基,推電子的取代基包括,舉例來說,胺基、 搜基(-0H)、烷基、經取代的烷基、烴基、經取代的烴基、 醯胺基及芳基。這些推電子的取代基將加速含鈕 料之移除。 此外,研磨料添加使得亞胺及肼衍生的化合物有效地 具有拉電子的取代基。術語「拉電子」表示一種鍵結到物 質的化學基團’該化學基團會自該物質將電子密度轉走。 "亥拉電子取代基足以將電子密度由該物質轉走以在取代基 上建立測待到的局部正電荷並而不會加速阻障物移除。拉 93308 14 1374172 ‘電子的取代基包括’舉例來說,_〇_烷基;_鹵素:_c(=〇)H · -C(=0)0-烷基;-C(=0)0H ; -C(=〇)-烷基;-S〇2H ; -s〇3h ; ··-·及_N〇2。該等拉電子的羰基並非含於醯胺基中之幾基。 , 該组阻障物移除劑可為乙脒、乙脒鹽、乙脒衍生物、 精胺酸、精胺酸鹽、精胺酸衍生物、甲脒、甲脉鹽、甲脉 衍生物、胍、胍衍生物、胍鹽及其混合物。這些阻障物移 .除劑在酸性pH下對於鈕阻障材料及含鈦的材料似乎具有 鲁強的親和力。此親和力似乎可加速含限量研磨料或視需要 地,未使用研磨料,的阻障物移除速率。此研磨料的限量 使用使該研磨作用可在比該介電質與該金屬互連件更高的 速率下移除該钽阻障物。該溶液仰賴選自包含曱脒、甲脒 .鹽、甲脒衍生物,例如胍、胍衍生物 '胍鹽及其混合物的 群組之鈕阻障物移除劑以選擇性地移除鈕阻障材料。特別 有效的阻障物移除劑包括胍、胍鹽酸鹽、胍硫酸鹽、胺基 胍鹽酸鹽、胍醋酸鹽、胍碳酸鹽、胍石肖酸鹽、曱脒、甲脉 #亞硫酸鹽、甲脒醋酸鹽及其混合物。較佳地,該溶液含有 0. 01至12重量百分的阻障物移除劑。最佳地,該溶液含 有0. 1至10重量百分比的阻障物移除劑,而且對於大部分 的應用而s,介於〇. 1與4重量百分比之間的阻障物移除 •劑)辰度可提供足夠的阻障物移除速率。 . 該阻障物移除劑在鹼性、中性及酸性的含水研磨溶液 中都有效用。較佳地,該溶液具有2至丨2的pH並帶有剩 餘水的溶液。較佳地,該溶液具有鹼性的pH。供向下調整 pH的典型试劑包括硝酸、硫酸、鹽酸、磷酸及有機酸類。 93308 1374172 • f佳地’視需要以氫氧化卸及硝酸提供最終的邱調整。此 谷液取佳地還藉由去離子水的平衡以限制伴隨的不 純物。 在低於7的pH下,以視需要的氧化 ,。該組成物含有〇至25重量百分比的氧化劑。該氧㈣ 在酸性pH下操作的方面特別有效。較佳 液含有0至20重量百公屮& _ & 1 有D m 5 r舌s 最佳地,該氧化劑含 | ^ 5重I百分比的氧化劑。該氧化劑可為^氧化 η!之至少一種,例如過氧化氫'單過硫酸鹽、破 =、過本二尹酸鎂、過醋酸、過硫酸鹽、演酸鹽、過漠 趟…過亂酸鹽、過碘酸鹽、硝酸鐵、鐵鹽、鈽鹽、錳(111) :、猛⑽鹽和鍾(VI)鹽、銀鹽、銅鹽、鉻鹽1鹽 =、次氣酸鹽及其混合物。再者,較佳地經常為使用氧化 物。較佳地,該氧化劑不是為過氧化氫就 =為私鹽。❻研㈣液含有不衫的氧化試劑,例如, 最佳地經常在使用時才將該氧化劑混入㈣ 肀最佳的氧化劑為過氧化氫。 人入用=該互連金屬的適合金屬包括’舉例來說,銅、銅 ^'金、金合金、鎳、錦合金、翻族金屬、產白族金屬合 …銀、銀合金、鶴、嫣合金及包含其 至 =混合物。較佳的互連金屬為銅。在使用二“ 故,的酸性研磨組成物及聚液中,由於銅氧化的緣 連金率及靜態飯刻速率本來就高。為了降低該互 、’、示速率,該研磨組成物使用腐蝕抑制劑。該腐 93308 :==該互連金屬的移除之功能。此功能可藉 互連至屬的凹陷現象而促成改良的研磨效能。 劑可劑視需要可以G至15重量%的量存在,該抑制 不早種互連金屬之抑制劑或其混合物。在此範圍 二為抑制劑之量以等於或大於0.001重量%為宜,較佳大 於2。等於0. 05重量%。在此範圍内所欲亦為小於或等於4 f量1的量’較佳為小於或等於1重量%。較佳的腐蝕抑制 d為笨并二唑(BTA)。於一具體例中,該研磨組成物可含有 2大量的ΒΤΑ抑制劑,以降低該互連金屬的移除速率。在 高於〇.〇5重量%的ΒΤΑ濃度下,可能不需要額外的補充腐 蝕抑制劑。較佳的ΒΤΑ濃度為〇. 0025至2重量%的量。 除了該抑制劑以外,該溶液視需要還可含有〇至2〇 重畺百分比之非鐵金屬用錯合劑。若該錯合劑存在,則可 藉由溶解該非鐵金屬互連所形成的金屬離子而防止其沈 澱。最佳地’該溶液含有〇至1 〇重量百分比之非鐵金屬用 錯合劑。錯合劑的例子包括醋酸、檸檬酸、乙醯醋酸乙g旨、 乙醇酸、乳酸、蘋果酸、草酸、水楊酸、二乙基二硫代胺 基曱酸鈉、丁二酸、酒石酸、毓基乙酸、胺基乙酸、丙胺 酸、天門冬胺酸、乙二胺、三曱基二胺、丙二酸、戊二酸、 3-羥基丁酸、丙酸、苯二曱酸、間苯二曱酸、3-羥基水楊 酸、3,5-二羥基水楊酸、沒食子酸(ga 11 i c ac i d)、葡萄糖 酸、兒茶驗、焦沒食子酸(pyrogallol)、單寧酸、鹽類及 其混合物。較佳地,該錯合劑係選自醋酸、檸檬酸、乙醯 醋酸乙酯、乙醇酸、乳酸、蘋果酸、草酸及其混合物其中 93308 丄 *3/41/2 ,之至少一者。最佳地,該錯合劑為檸檬酸。 體,磨劑可提供有效之不含研磨料的研磨流 -有二應用中添加研磨料至該研磨流體可能較好。咳 :磨組成物可視需要地含有達到50重量%的研磨料(較佳/ 地’〇至4G重量%)以促使阻障物移除或阻障物及二氧化石夕 併私除*决於集成結構(inte㈣灿峨),該 研磨組成物可供作i)移除該阻障層底下的遮罩屬或膜;或 ⑴先移除阻障層,接著移除含二氧切的層之^該研磨 ,成物視需要地包括供阻障層之「機械性」移除用的研磨 :。該研磨料較佳為膠體狀研磨料。研磨料的例子包括益 機氧化物、金屬钱物、金屬碳化物、金屬氮化物、聚I :勿粒子及包含前述之至少一種的混合物。適合 物則例來說,二氧化石夕(叫三氧化二細203)、 二氧化KZr〇2)、一氧化鈽(Ce〇2)、二氧化鐘⑽⑹或包含 則述之至少-種的混合物。必要時也可使用例如塗覆有聚 合物的無機氧化物粒子及無機塗覆的粒 物的改質形態。適合的金屬碳化物、钱物及氮;:物包括 舉例來說,碳化_、氮切、碳氮切(⑽)、碳化蝴、 碳化鶴、碳化結、蝴化紹、碳化组、碳化鈦或包含前述金 屬碳化物、蝴化物及氮化物中之至少—種的混合物。若有 Μ的話’亦可使用鑽石當作研磨料。替代性研磨料也包 括聚合物粒子及經塗覆有聚合物之粒子。較佳的研磨料為 二氣化矽。 較佳地,該研磨料存在〇 〇5至15重量%的量。在此範 933〇g 18 1374172 而且較佳地或等於U重量%的研磨料之量, 小於或等於〇·5重量%。在此範圍以内亦欲為 ^寺於1〇重量%的量,而且較佳地小於或等於5重務 该研磨料具有小於或等於15〇奈米(nm)之平均粒子大 明=防止過度的金屬凹陷及介質質侵钱。為了達到本說 目的’粒子大小表示該研磨料的平均粒子大小。所 二人者為使用具有小於或等於10。奈米的平均粒子大小之膠 紅研磨料,而較佳為小於或等於5〇奈米者。最小的介電所 侵蝕及金屬凹陷較佳地會發生在使用具有小於或等於4〇貝 奈米的平均粒子大小之膠體狀二氧化石夕之時。使該夥體狀 研磨料的大小降到小於或等於40奈米,則會傾向於促進該 研磨組成物的選擇性;但也會傾向於降低該阻障物移除速 率。此外,較佳的膠體狀研磨料還可包括添加物,例如分 散劑、界面活性劑及緩衝劑以增進在酸性pH範圍下之膠體 狀研磨料的安定性。一種此類膠體狀研磨料為來自法國, 普迪斯的Claimant公司的膠體狀二氧化矽。該化學機械平 坦化組成物也可視需要地包括增亮劑,例如氣化銨、邱緩 衝劑、生物殺滅劑及消泡劑。 若該研磨組成物不含研磨料,那麼墊子選擇及條件對 於化學機械平坦化(CMP)製程將變得更加重要。舉例來說, 對於某些不含研磨料的組成物而言’固定的研磨塾會增進 研磨效能。 利用小於13.8千帕之正交於晶圓所測得的微孔型聚 胺基甲酸酯研磨墊壓力測量時,該漿液可提供至少2比i 93308 1374172 ,之TaN/CDO選擇率。可用於測定選擇率的特定研磨墊為微 孔性聚胺基曱酸酯研磨墊,例如由Rohm and Haas公司出 ··-‘售的IC 1000TM研磨墊。較佳地,利用小於13.8千帕之正 ·-交於晶圓所測得的微孔型聚胺基曱酸酯研磨墊壓力測量 時’該漿液可提供至少3比1之TaN/CD〇選擇率;而且最 佳地’此範圍為至少5比1之TaN/CDO。調整該界面活性 劑〉農度、pH漿液、氧化劑濃度及鈕移除劑濃度會調整該等 鲁選擇性。調整抑制劑、氧化劑、錯合物則會調整該互連金 屬的移除速率。 實施例 所有的試驗都在室溫下進行並且使用2〇〇毫米的晶 -圓。s式驗使用下列片狀晶圓;TEOS Si〇2、電鑛有銅、CD0® (由SemiTech公司所製造之摻碳氧化物低_k晶圓)、氮化 钽、鈕、SiCN 及 SiN。 在使用Rohm and Haas公司所製造的ici〇1〇或 # Politex®研磨墊之strasbaugh 6EC上進行研磨。除非另行 指明,否則該研磨的向下作用力2 psi (13.8千帕)。以Kinik鑽石研磨料調節盤(γ 1508〇7〇」15〇 微米鑽石大小、80微米凸出高度及70微米鑽石間間隔)維 持忒研磨墊的表面粗糙度。平台與載體速度分別地為 及114轉/分鐘。漿液流量為2〇〇毫升/分鐘。移除速率由 研磨之前與之後的膜厚差除以研磨時間計算得到。利用
Therma Wave Optiprobe 2600 進行 TE0S、CD0®、SiCN 及
Si N的厚度量測並且對49個勘測點進行量測。在cde上對 93308 20 1374172 用91個勘測點進行筒& 4 a _ 仃虱化鈕、鈕及鋼厚度量測。所有記錄的 移除都以埃/分鐘為單位。研磨睹門支β。 研磨呀間為6 〇秒,但低移除速 率配方提高到120秒以改良準確度。 為了達到本說明書的目的,以字母表示者為比較例, 而且以數字表示者為本發明。底下的表i含有且0 _05 至0.01重量_乙二醇醚硫酸醋的阻障物聚液配方。 漿液 BTA (重量%) 檸檬酸 (重量%) 二氡化矽 (重量%) 胍硝酸鹽 (重量%) Ί__ 生物殺滅劑 (重量%) pH 聚乙二醇醚硫酸酯 (重量%) A 0· 1 0.5 12 1 0.01 9 0 1 0.1 0.5 12 1 0.01 — 9 0. 00005 2 0.1 0.5 12 1 0.01 9 0. 0001 3 0.1 0.5 12 1 0.01 9 〇. 0003 4 0.1 0.5 12 1 0.01 r 9 0. 0007 5 0.1 0.5 12 1 0.01 9 0.001 6 0.1 0.5 12 I 0.01 9 0. 003 7 0.1 0.5 12 1 0.01 9 0.006 8 0.1 0.5 12 ------ 1 0.01 9 0.01 BTA-本并二α坐,一氧化石夕為az電子材料公司所製造之具有 50奈米的平均粒子大小之Kleb〇s〇1 η ;聚乙二醇醚硫酸 西曰=藉由具有R(EO)33S〇3Na的標稱組成物之c〇gnis/化學集 圈所製造的Disponil FES 77 IS,其中R為脂肪醇而且E0 為氧伸乙基;生物殺滅劑為具有5〇. 〇至52. 〇%曱基-4-異 噻唑啉-3-酮、45.0至47. 0%的丙二醇及<3%的相關反應產 物之Rohm and Haas公司所製造的NE0L0NETM。 21 93308 1374172 , 底下的表2提供表1的研磨溶液用之以每分鐘埃數為 單位的研磨移除速率。 表2 * 1 psi (6. 9千帕) r psi (13. 8千帕^ 漿液 CD0 TaN TEOS Cu SiCN CDO TaN TEOS Cu — "slcir A 1248 930 490 I 31 806 2673 1484 960 61 1 566 1 763 950 471 46 749 2329 1575 1008 2 704 944 480 37 822 2173 1593 1001 69 ' ΤθΤΤ 3 231 961 459 34 833 720 1551 1019 ----— 58 A vi x g T577~ 4 76 928 466 35 561 144 1586 1015 1444 ----- 5 80 1494 839 ~42~ 6 36 1524 697 44— --- 7 20 1392 657 2厂 ---- 8 15 1365 591 ~ΪΓ~ -----
CDO=來自Novel 1US公司之c〇RAL摻碳氧化物; 空格的例子表示未進行試驗。 表2說明小量的聚乙二醇喊硫酸醋對於碳推雜的氧化 物移除速率具有E A的影響,但對於其他層的移除速率則 沒有顯著㈣響。該陰料部分可用㈣斥帶貞電的二氧 化石夕表面以進-步增進選擇性並且限制該界面活性劑盘該 研磨料之間的相互作用。此外,月瓜會提高該㈣與⑽ 的移除速率。 底下的表3提供一組供評估用之不含胍的漿液。 93308 22 1374172 表3 漿液 BTA (重量» 生物殺滅劑 (重量%) 二氧化矽 (重量%) pH 聚乙二醇醚硫酸酯 (重量%) 9 0. 10 0.01 12.0 9 0.06 10 0. 10 0.01 12.5 9 0.06 11 0. 10 0.01 13.0 9 0.06 12 0. 10 0.01 11.5 9 0.06 13 卜 0. 10 0.01 11.0 9 0.06 14 0. 10 0.01 12.0 9 0.03 15 0. 10 0.01 12.0 9 0.05 16 0. 10 0.01 12.0 9 0.07 BTA=苯并三唑;生物殺滅劑為具有9. 5至9. 9%甲基-4-異 噻吐啉-3-酮、89. 1至89. 5%的水及< 1. 0%的相關反應產 物之Rohm and Haas公司所製造的Kordek MLXtm ;二氧化 矽為AZ電子材料公司所製造之具有5〇奈米的平均粒子大 小之Klebosol II ;而且聚乙二醇醚硫酸酯=藉由具有 R(EO)33S〇3Na的標稱組成物之c〇gnis/化學集團所製造的
Disponi1 FES 77 IS。 表4提供表3之溶液之移除速率,其單位為埃/分鐘。 93308 23 1374172 瓤 ,表4 漿液 TaN TE0S CD0 SiCN Cu SiN Ta 9 1799 478 -12 57 37 278 573 10 1795 570 -19 66 38 328 582 11 1586 720 -13 85 167 435 571 12 1776 614 -9 69 145 349 563 13 1773 586 -17 73 23 327 556 14 1704 710 -9 129 14 385 520 15 1571 768 -9 98 84 416 527 16 1616 708 -49 84 13 399 510 CDO=來自Novel lus公司之CORAL摻碳的氧化物 表4說明小量的聚乙二醇醚硫酸酯在摻碳的氧化物層 上提供有效的阻擋一CD0移除速率為負數並非表示CD0層 成長,而是表示CD0移除速率在設備的偵測極限以下。此 外,該數據證明就溶液移除其他層(例如阻障層)的能力而 言,胍非為必需的成分。 表5提供利用硝酸向下調整pH時,含有各種不同量二 氡化矽研磨料之溶液之移除速率,其單位為埃/分鐘。 24 93308 1374172
A •表5 漿液 bta (重量%) 氧化石夕 (重量%) pH PEGS (重量%) TaN TEOS CDO SiCN Cu SiN Ta 17 0J 12 9 0.06 1779 467 9 55 97 258 567 18 〇. 1 12 7 0.06 1584 400 19 207 29 283 486 19 〇Π 12 5 0. 06 1116 308 47 809 88 401 261 CKJ Ο 1 0. 1 12 3 0.06 1008 402 100 1225 96 546 643 Z1 〇〇 0. 1 8 9 0.06 1766 202 23 25 55 128 135 LL OQ 0. 1 8 7 0. 06 1559 183 32 68 -18 135 320 L6 0.1 8 5 0.06 1168 140 21 374 9 230 3 24 nc 0. 1 8 3 0. 06 872 178 30 764 116 457 557 OR 0. 1 4 5 0. 06 48 59 -3 47 85 126 2 _ L· U 0. 1 4 3 0. 06 773 111 -14 260 65 513 605
所有的溶液都含有〇. 01重量%之具有9· 5至9. 9%甲基 -4-異噻唑啉_3 —酮、89. i至89· 5%的水及$丨.的相關反. 應產物之R〇hm ancj Haas公司所製造的Kordek MLXTM生物 f滅劑;BTA=笨并三唑;二氧化矽為AZ電子材料公司所製 造^具有50奈米的平均粒子大小之Kleb〇s〇1 n;pEGs(聚 乙二醇醚硫酸醋>藉由具有R(E〇)33S〇3Na的標稱組成物之^ C〇gnis/化學集團所製造的Disp〇nil FES 77 is,其中r 為脂肪醇而且E0為氧伸乙其·& η ΓηΛ +上 勺礼1甲乙暴,而且CD0=來自N〇vellus 司之CORAL摻碳的氧化物。 5 表卿呢嶮醆酯隨著PH的降低而與凑 部分效力」但是該溶液在純與酸性溶液兩者中 低該#碳氧化物移除速率之作 酸醋對低漠度和高-度之二—醇越硫 此外’ I谷液及方法還可視需要地提 用以供移除例如氮化趣W阻障材 93308 25 1374172 、氮化矽及二氧化矽等覆蓋層,並同時停在摻碳的氧化物 • 層。此外,該溶液還可選擇性地移除阻障層、覆蓋層、介 .;· 電層、抗反射層及硬質遮罩以降低或避免介電質侵姓。
26 93308

Claims (1)

  1. 丄/4172
    十、申請專利範圍·· 1· 一種在低-k介電質存在的情 物的水溶液,包含: 第094143315號專利申請案 101年6月28曰修正替換頁 況下用於選擇性移除阻障 〇至25重量%的氧化劑; 、〇· 00002至5重量%的多成分界面活性劑,該多成 分界面活性劑由疏水性末端、非離子型親水性部分及陰 離子型親水性部分組成,該陰離子型親水性部分由幾 ,、續酸、硫酸及其鹽類或其混合物組成,該疏水性末 端具有6至30個碳原子且該非離子型親水性部分係直 鏈的聚環氧乙烷並具有2〇至2〇〇個碳原子; 0.1至10重量%的阻障物移除劑,該阻障物移除劑 係選自m脒鹽、甲_生物、胍、胍衍生物、脈 鹽及其混合物之至少一者. 〇至15重量%的非鐵金屬用抑制劑; 0至50重量%的研磨料; 0至20重量%之非鐵金屬用錯合劑,·及 水;以及 利用’i、於13.8千帕之正交於晶圓所測得的微孔型 聚胺基甲酸醋研磨塾壓力測量時,該水溶液具有至少^ 比1之TaN/CDO移除選擇率。 2·如申請專利範圍第i項之水溶液,其中該多成分界面活 性劑具有結構卿)33SG3Na,其中^ 為氧伸△甚。 3. 93308 (修正本) 種在低_k介電質存在的情況下用於選擇性移除阻障 27 1374172 4. 5. 93308 (修正本) 第094143315號專利申諳素 101年6月28曰修正替換頁 物的水溶液,包含: 0至2 0重量%的氧化劑; 、〇· 00005至2重量%的多成分界面活性劑,該多成 分界面活性劑由疏水性末端、非離子型親水性部分及陰 離子型親水性部分組成,該陰離子型親水性部分由羧 =、磺酸、硫酸及其鹽類或其混合物組成,該疏水性末 端具有8至20個韻子且該非離子型親水性部分係直 鏈的聚環氧乙烷並具有2〇至2〇〇個碳原子; 〇. 1至1. 0重量%的阻障物移除劑,該阻障物移除 劑係選自甲胨、,脒鹽、甲胨衍生物、胍、胍衍生物、 胍鹽及其混合物之至少一者; 0.001至15重量%的非鐵金屬用抑制劑; 0至40重量%的研磨料; 0至10重1%之非鐵金屬用錯合劑;及 水;以及 利用小於13. 8千帕之正交於晶圓所測得的微孔型 聚胺基曱酸酯研磨墊壓力測量時,該水溶液具有至少2 比1之TaN/CDO移除選擇率。 如申請專利範圍第3項之水溶液,其中該多成分界面活 性劑具有結構R(E0)33 SOaNa ’其中R為脂肪醇而且E〇 為氧伸乙基。 如申請專利範圍第3項之水溶液’其中讓水溶液包括 〇·1至4重量%之阻障物移除劑,該阻障物移除劑係選 自胍、胍鹽酸鹽、胍硫酸鹽、胺基胍鹽酸鹽、胍醋酸鹽、 28 1:374172 第094143315號專利申請案 101年6月28曰修正替換頁 胍碳酸鹽、胍硝酸鹽、曱脒、f脒亞硫酸鹽、甲脒醋酸 鹽及其混合物之至少一者。 .6. 0 如申請專利範圍第3項之水溶液,其中該疏水性末端含 有12至16個碳原子且該非離子型親水性部分含有25 至150個碳原子。 93308 (修正本) 29
TW094143315A 2004-12-22 2005-12-08 Selective slurry for chemical mechanical polishing TWI374172B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/019,882 US7790618B2 (en) 2004-12-22 2004-12-22 Selective slurry for chemical mechanical polishing

Publications (2)

Publication Number Publication Date
TW200626690A TW200626690A (en) 2006-08-01
TWI374172B true TWI374172B (en) 2012-10-11

Family

ID=36585713

Family Applications (1)

Application Number Title Priority Date Filing Date
TW094143315A TWI374172B (en) 2004-12-22 2005-12-08 Selective slurry for chemical mechanical polishing

Country Status (7)

Country Link
US (1) US7790618B2 (zh)
JP (1) JP2006196887A (zh)
KR (1) KR101107638B1 (zh)
CN (1) CN100378188C (zh)
DE (1) DE102005058271A1 (zh)
FR (1) FR2879618B1 (zh)
TW (1) TWI374172B (zh)

Families Citing this family (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1150341A4 (en) * 1998-12-28 2005-06-08 Hitachi Chemical Co Ltd MATERIALS FOR METAL POLLING LIQUID, METAL POLISHING LIQUID, THEIR PRODUCTION AND POLISHING METHOD
US7678702B2 (en) * 2005-08-31 2010-03-16 Air Products And Chemicals, Inc. CMP composition of boron surface-modified abrasive and nitro-substituted sulfonic acid and method of use
TWI385226B (zh) * 2005-09-08 2013-02-11 羅門哈斯電子材料Cmp控股公司 用於移除聚合物阻障之研磨漿液
US7799491B2 (en) * 2006-04-07 2010-09-21 Aptina Imaging Corp. Color filter array and imaging device containing such color filter array and method of fabrication
JP2008041781A (ja) * 2006-08-02 2008-02-21 Fujimi Inc 研磨用組成物及び研磨方法
US20080105652A1 (en) * 2006-11-02 2008-05-08 Cabot Microelectronics Corporation CMP of copper/ruthenium/tantalum substrates
US20080149884A1 (en) * 2006-12-21 2008-06-26 Junaid Ahmed Siddiqui Method and slurry for tuning low-k versus copper removal rates during chemical mechanical polishing
CN101225282B (zh) * 2007-01-19 2013-05-01 安集微电子(上海)有限公司 一种低介电材料抛光液
US7820068B2 (en) * 2007-02-21 2010-10-26 Houghton Technical Corp. Chemical assisted lapping and polishing of metals
US20080204580A1 (en) * 2007-02-28 2008-08-28 Micron Technology, Inc. Method, apparatus and system providing imaging device with color filter array
US20080276543A1 (en) * 2007-05-08 2008-11-13 Thomas Terence M Alkaline barrier polishing slurry
US20090032765A1 (en) * 2007-08-03 2009-02-05 Jinru Bian Selective barrier polishing slurry
US20090031636A1 (en) * 2007-08-03 2009-02-05 Qianqiu Ye Polymeric barrier removal polishing slurry
JP5240202B2 (ja) * 2007-10-23 2013-07-17 日立化成株式会社 Cmp研磨液及びこれを用いた基板の研磨方法
US20100159807A1 (en) * 2008-12-22 2010-06-24 Jinru Bian Polymeric barrier removal polishing slurry
US8597531B2 (en) * 2009-04-02 2013-12-03 Infineon Technologies Ag Method for manufacturing a device on a substrate
US8025813B2 (en) * 2009-11-12 2011-09-27 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Chemical mechanical polishing composition and methods relating thereto
US8496843B2 (en) * 2010-03-16 2013-07-30 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Method of polishing a substrate comprising polysilicon and at least one of silicon oxide and silicon nitride
US8492277B2 (en) * 2010-03-16 2013-07-23 Rohm And Haas Electronic Materials Cmp Holdings, Inc Method of polishing a substrate comprising polysilicon and at least one of silicon oxide and silicon nitride
US8491808B2 (en) * 2010-03-16 2013-07-23 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Method of polishing a substrate comprising polysilicon, silicon oxide and silicon nitride
US9040473B1 (en) 2010-07-21 2015-05-26 WD Media, LLC Low foam media cleaning detergent with nonionic surfactants
US8568610B2 (en) * 2010-09-20 2013-10-29 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Stabilized, concentratable chemical mechanical polishing composition and method of polishing a substrate
TWI568541B (zh) * 2010-12-22 2017-02-01 Jsr Corp Chemical mechanical grinding method
US9120200B2 (en) 2010-12-28 2015-09-01 Saint-Gobain Ceramics & Plastics, Inc. Polishing slurry including zirconia particles and a method of using the polishing slurry
US9029308B1 (en) 2012-03-28 2015-05-12 WD Media, LLC Low foam media cleaning detergent
EP2754732B1 (en) * 2013-01-15 2015-03-11 ATOTECH Deutschland GmbH Aqueous composition for etching of copper and copper alloys
JP6751015B2 (ja) * 2013-03-15 2020-09-02 キャボット マイクロエレクトロニクス コーポレイション 銅の化学的機械的平坦化後のための水性清浄化組成物
CN108250978A (zh) * 2016-12-28 2018-07-06 安集微电子科技(上海)股份有限公司 一种化学机械抛光液及其应用
JP7120846B2 (ja) * 2018-08-10 2022-08-17 株式会社フジミインコーポレーテッド 研磨用組成物及びその製造方法並びに研磨方法並びに基板の製造方法
WO2020091242A1 (ko) * 2018-10-31 2020-05-07 영창케미칼 주식회사 구리 배리어층 연마용 슬러리 조성물
KR20220165667A (ko) * 2021-06-08 2022-12-15 주식회사 동진쎄미켐 유기막 연마 조성물 및 이를 이용한 연마 방법

Family Cites Families (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5575837A (en) * 1993-04-28 1996-11-19 Fujimi Incorporated Polishing composition
EP0786504A3 (en) * 1996-01-29 1998-05-20 Fujimi Incorporated Polishing composition
US5916855A (en) * 1997-03-26 1999-06-29 Advanced Micro Devices, Inc. Chemical-mechanical polishing slurry formulation and method for tungsten and titanium thin films
US6302209B1 (en) * 1997-09-10 2001-10-16 Bj Services Company Surfactant compositions and uses therefor
US6475069B1 (en) * 1999-10-22 2002-11-05 Rodel Holdings, Inc. Control of removal rates in CMP
JP3337464B2 (ja) * 1998-08-31 2002-10-21 日立化成工業株式会社 金属用研磨液及び研磨方法
JP2000109816A (ja) * 1998-10-05 2000-04-18 Okamoto Machine Tool Works Ltd 研磨剤スラリ−の調製方法
SG99289A1 (en) 1998-10-23 2003-10-27 Ibm Chemical-mechanical planarization of metallurgy
EP1150341A4 (en) * 1998-12-28 2005-06-08 Hitachi Chemical Co Ltd MATERIALS FOR METAL POLLING LIQUID, METAL POLISHING LIQUID, THEIR PRODUCTION AND POLISHING METHOD
EP1205965B1 (en) 1999-06-18 2006-11-15 Hitachi Chemical Company, Ltd. Use of cmp abrasive
TWI227726B (en) * 1999-07-08 2005-02-11 Eternal Chemical Co Ltd Chemical-mechanical abrasive composition and method
JP2001033973A (ja) * 1999-07-15 2001-02-09 Fuji Photo Film Co Ltd 感光材料
US6443812B1 (en) * 1999-08-24 2002-09-03 Rodel Holdings Inc. Compositions for insulator and metal CMP and methods relating thereto
JP4505891B2 (ja) * 1999-09-06 2010-07-21 Jsr株式会社 半導体装置の製造に用いる化学機械研磨用水系分散体
US6720264B2 (en) * 1999-11-04 2004-04-13 Advanced Micro Devices, Inc. Prevention of precipitation defects on copper interconnects during CMP by use of solutions containing organic compounds with silica adsorption and copper corrosion inhibiting properties
US6503418B2 (en) * 1999-11-04 2003-01-07 Advanced Micro Devices, Inc. Ta barrier slurry containing an organic additive
US20030006396A1 (en) * 1999-12-14 2003-01-09 Hongyu Wang Polishing composition for CMP having abrasive particles
TW572980B (en) * 2000-01-12 2004-01-21 Jsr Corp Aqueous dispersion for chemical mechanical polishing and chemical mechanical polishing process
US20030061766A1 (en) * 2000-03-31 2003-04-03 Kristina Vogt Polishing agent and method for producing planar layers
US6416685B1 (en) * 2000-04-11 2002-07-09 Honeywell International Inc. Chemical mechanical planarization of low dielectric constant materials
US6569349B1 (en) * 2000-10-23 2003-05-27 Applied Materials Inc. Additives to CMP slurry to polish dielectric films
JP3768401B2 (ja) * 2000-11-24 2006-04-19 Necエレクトロニクス株式会社 化学的機械的研磨用スラリー
EP1211024A3 (en) * 2000-11-30 2004-01-02 JSR Corporation Polishing method
US6899804B2 (en) * 2001-12-21 2005-05-31 Applied Materials, Inc. Electrolyte composition and treatment for electrolytic chemical mechanical polishing
US6679928B2 (en) * 2001-04-12 2004-01-20 Rodel Holdings, Inc. Polishing composition having a surfactant
US6821309B2 (en) 2002-02-22 2004-11-23 University Of Florida Chemical-mechanical polishing slurry for polishing of copper or silver films
US20030162399A1 (en) * 2002-02-22 2003-08-28 University Of Florida Method, composition and apparatus for tunable selectivity during chemical mechanical polishing of metallic structures
KR101005304B1 (ko) * 2002-03-25 2011-01-05 롬 앤드 하스 일렉트로닉 머티리얼스 씨엠피 홀딩스 인코포레이티드 탄탈 배리어 제거 용액
US20030219982A1 (en) * 2002-05-23 2003-11-27 Hitachi Chemical Co., Ltd CMP (chemical mechanical polishing) polishing liquid for metal and polishing method
KR100479804B1 (ko) * 2002-05-30 2005-03-30 동우 화인켐 주식회사 금속 cmp용 연마 슬러리 조성물
US6974777B2 (en) * 2002-06-07 2005-12-13 Cabot Microelectronics Corporation CMP compositions for low-k dielectric materials
JP3981616B2 (ja) * 2002-10-02 2007-09-26 株式会社フジミインコーポレーテッド 研磨用組成物
JP2004172606A (ja) * 2002-11-08 2004-06-17 Sumitomo Chem Co Ltd 金属研磨材組成物及び研磨方法
CN1213118C (zh) * 2002-12-13 2005-08-03 清华大学 一种用于存储器硬盘的磁盘基片抛光浆料
JP2004193495A (ja) * 2002-12-13 2004-07-08 Toshiba Corp 化学的機械的研磨用スラリーおよびこれを用いた半導体装置の製造方法
TW200427827A (en) * 2003-05-30 2004-12-16 Sumitomo Chemical Co Metal polishing composition
US7485162B2 (en) * 2003-09-30 2009-02-03 Fujimi Incorporated Polishing composition

Also Published As

Publication number Publication date
CN1793274A (zh) 2006-06-28
JP2006196887A (ja) 2006-07-27
FR2879618B1 (fr) 2010-06-25
TW200626690A (en) 2006-08-01
KR20060071875A (ko) 2006-06-27
KR101107638B1 (ko) 2012-01-25
FR2879618A1 (fr) 2006-06-23
DE102005058271A1 (de) 2006-07-13
CN100378188C (zh) 2008-04-02
US7790618B2 (en) 2010-09-07
US20060131275A1 (en) 2006-06-22

Similar Documents

Publication Publication Date Title
TWI374172B (en) Selective slurry for chemical mechanical polishing
US10032644B2 (en) Barrier chemical mechanical planarization slurries using ceria-coated silica abrasives
US7029373B2 (en) Chemical mechanical polishing compositions for metal and associated materials and method of using same
KR100594561B1 (ko) 구리 기판에 유용한 화학 기계적 연마용 슬러리
US6692546B2 (en) Chemical mechanical polishing compositions for metal and associated materials and method of using same
KR102320653B1 (ko) 감소된 산화물 침식을 위한 텅스텐 화학적 기계적 연마 슬러리
JP4774219B2 (ja) ケミカルメカニカルプラナリゼーションのための多工程研磨溶液
US7491252B2 (en) Tantalum barrier removal solution
TWI286157B (en) Bicine/tricine containing composition and method for chemical-mechanical planarization
US20080274620A1 (en) Chemical mechanical polishing agent kit and chemical mechanical polishing method using the same
TW201013771A (en) Method for forming through-base wafer vias in fabrication of stacked devices
TW200907037A (en) Polymeric barrier removal polishing slurry
WO2011101755A1 (en) Chemical-mechanical planarization of substrates containing copper, ruthenium, and tantalum layers
US20080148652A1 (en) Compositions for chemical mechanical planarization of copper
JP2005167219A (ja) バリヤ除去のための組成物及び方法
JP3849091B2 (ja) 化学機械研磨用水系分散体
JP5585220B2 (ja) Cmp研磨液及びこのcmp研磨液を用いた研磨方法
TW200927898A (en) Method for chemical mechanical planarization of a metal-containing substrate
TW201250809A (en) Method for forming through-base wafer vias
WO2007026862A1 (ja) 研磨用組成物
JP2004189894A (ja) 金属用研磨組成物
Kim et al. Acid colloidal silica slurry for Cu CMP