TWI300584B - Overlay metrology using scatterometry profiling - Google Patents

Overlay metrology using scatterometry profiling Download PDF

Info

Publication number
TWI300584B
TWI300584B TW092108572A TW92108572A TWI300584B TW I300584 B TWI300584 B TW I300584B TW 092108572 A TW092108572 A TW 092108572A TW 92108572 A TW92108572 A TW 92108572A TW I300584 B TWI300584 B TW I300584B
Authority
TW
Taiwan
Prior art keywords
wafer
alignment
layers
semiconductor wafer
electromagnetic energy
Prior art date
Application number
TW092108572A
Other languages
English (en)
Other versions
TW200407961A (en
Inventor
Cynthia C Lee
Stephen Arlon Meisner
Thomas Michael Wolf
Alberto Santoni
John Martin Mclntosh
Original Assignee
Agere Systems Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Agere Systems Inc filed Critical Agere Systems Inc
Publication of TW200407961A publication Critical patent/TW200407961A/zh
Application granted granted Critical
Publication of TWI300584B publication Critical patent/TWI300584B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/26Measuring arrangements characterised by the use of optical techniques for measuring angles or tapers; for testing the alignment of axes
    • G01B11/27Measuring arrangements characterised by the use of optical techniques for measuring angles or tapers; for testing the alignment of axes for testing the alignment of axes
    • G01B11/272Measuring arrangements characterised by the use of optical techniques for measuring angles or tapers; for testing the alignment of axes for testing the alignment of axes using photoelectric detection means

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Length Measuring Devices By Optical Means (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Description

1300584 玖、發明說明 【發明所屬之技術領域】 本發明係槪括關於量測術之領域,尤指使用散射儀剖 面量測之疊對量測方法及裝置。 . 髻 【先前技術】 供生產微電子裝置,諸如形成在半導體基板晶圓上之 積體電路之品管,係依先前所形成之諸層基板上之每一層 · 之準確對準而定。例如,形成在半導體晶圓上之每一裝置 必須相對於其他裝置適當對準,以供積體電路適當操作。 而且’每一裝置部位必須與裝置之其他部位適當對準,以 供適當操作。諸互相連接層必須相對於下面諸層適當定向 ’以保證建立適當之電連接。因此諸晶圓及部位之垂直及 水平對準,對積體電路之成功操作極具重要性。不對準之 諸層將會導使諸裝置部位自其適當位置移位,導致裝置故 障,及因此積體電路之故障。 · 在形成積體電路時,頂晶圓層常經歷一種作成圖形及 蝕亥!J程序,以除去其特定部份。例如,將諸金屬層作成圖 形及鈾刻,以在諸裝置部位之間形成互相連接。將諸絕緣 體層作成圖形及鈾刻,以形成供沉積或植入摻雜物之部位 。當形成諸新層時,在頂晶圓層實施作成圖形及鈾刻程序 。使用一有透明及半透明部位之光罩進行作成圖形操作, 以將一圖形自光罩轉移至晶圓之頂面。習知爲,首先將光 罩圖形轉移至一層形成在晶圓表面之光阻。光阻爲一種光 -6 - 1300584 敏材料,因而曝光改變材料結構及特性。例如,一種負作 用光阻之曝光改變光阻自一種可溶至一種不可溶狀態,並 可利用化學溶劑除去可溶部位。將一種負作用光阻施加至 一晶圓之頂面,並通過一光罩曝光時,曝光之部位變成不 可溶。然後藉化學溶劑除去可溶部位。在此刻,圖形已轉 移至晶圓表面供隨後處理。例如,可藉光阻可對抗之一種 化學蝕刻程序除去已曝光之晶圓表面部位,或可將摻雜物 離子植入在已曝光之部位。在已完成已曝光之部位之處理 後’除去殘留之光阻。因此可看出,光罩與下面晶圓表面 間之對準必須精確,以保證所除去部位相對於先前在晶圓 所形成部位之準確。 添加雜質摻雜物諸如硼,磷,及砷至一含鍺或矽晶圓 層以形成半導體部位,藉以在積體電路形成半導體裝置。 如人們所知,也可使用來自週期表之III/IVII/VI族之化 合物材料。此種摻雜部位可例如爲一金屬氧化物半導體場 效應電晶體(MOSFET)裝置之一閘導體或一源極/汲極部位 。一種摻雜程序包括將晶圓置於一加熱之室中,並使其接 受適當摻雜物之蒸氣。蒸氣中之摻雜物原子擴散至晶圓表 面之曝光之部位。曝光之部位係由一相似於上述作成圖形 及蝕刻步驟之先前掩蔽步驟所界定。 可代之爲藉植入一種雜質摻雜物品種,在晶圓形成摻 雜部位。可使用晶圓表面在其中通過一形成在一顯像層之 光阻材料之開口之圖形遭受高能量轟擊之一種植入程序, 而將摻雜物導入。習知爲,如上述藉一種照相平版印刷掩 1300584 蔽程序,形成光阻層上之圖形。摻雜物離子穿透晶圓表面 ,以在晶圓表面下面形成植入之部位,其在除去該層光阻 後留下。 也使用光罩處理,供將金屬互相連接層作成圖形。在 . 一層光阻後將一層金屬加至晶圓表面。使用一種掩蔽程序 _ 將光阻作成圖形,曝光,並且然後蝕刻光阻及下面之金屬 。然後除去殘留之光阻材料,留下一作成圖形金屬層之互 相連接電路。 · 在積體電路之製造,如上述使用照相平版印刷光罩之 多重作成圖形步驟爲常見者。例如,在隨機存取記憶體 (RAM)積體電路(1C)之製造可能需要15至20掩蔽步驟 。積體電路在一約0.25平方吋之面積可能含數以千萬計 之個別裝置。個別特性大小在1微米之範圍,並且此等特 性必須對準至約三分之一特性大小之容限。在每一層次曝 光及在晶圓形成時,必須維持此容限。 一般爲,在製造積體電路所使用之光罩,包括一位於 Φ 靠近光罩邊緣之對準記號或靶。每一晶圓層也包括一對準 記號或靶。此等靶可在金屬層以金屬或在一裝置層以一摻 雜部位形成。然後使光罩靶適當位於晶圓上之對應記號, 藉以完成光罩對準。如圖1中所示,對準記號可包括一在 光罩上之十字形1 0,供與在頂晶圓層上之四間開之正方 形1 2對準,因而十字形之諸臂位於正方形間之區域中。 另一型之對準記號包括二不同大小之正方形,其藉將二較 小正方形1 4置於較大正方形1 6之中間而對準。請見圖2 -8 - 1300584 有幾種類別之對準誤差。在χ-y方向之簡單位移或許 爲最常見者。圖3例示在X方向之不對準。在一種旋轉誤 差狀況,晶圓之一側對準,但圖形越過晶圓變成愈來愈不 對準。請見圖4。雖然某些半導體程序可利用一光罩將整 個晶圓表面作成圖形及蝕刻,但較常爲一光罩包含複數之 較小光罩,供將晶圓上之一個或多個個別晶粒作成圖形及 飩刻。如果光罩圖形不位於固定之中心或離心,便造成不 對準(run-in及run-out )問題。如圖5中所示,於是僅 只光罩圖形之一部份可適當對準至晶圓圖形,並且圖形在 整個晶圓上變成愈來愈不對準。 圖6爲一晶圓特性20與一下面特性22間之不對準之 輪廓圖。理想爲,特性2 0應定中在特性2 2上面。一箭頭 24指示圖6中之對準誤差。 有幾種已知之裝置及技術,用以在製造程序中使光罩 及晶圓對準。在接觸對準器,將晶圓安裝在一真空晶圓夾 頭上,並位於光罩下面。作業員通過一顯微鏡檢視,並使 晶圓依需要重新定向,以使記號對準,藉以使光罩對準記 號與晶圓表面上之記號對準。一般爲,晶圓及光罩在相對 側上各包括二組對準記號。顯微鏡影像呈現一分場,因而 可同時檢視此等相對邊緣,並調整晶圓,直到兩組記號均 指示對準。一經對準’便驅動晶圓向上與光罩接觸,並使 晶圓光阻通過光罩曝光。 現行對準程序被內建至最現代化製造設備所用之步進 -9- 1300584 對準機(sterper/ repeater)。使一帶有一個或多個晶圓晶 粒之圖形之光柵與晶圓對準,使晶圓曝光,使光柵步進至 次一地點,並重複該程序。當每一晶粒或複數之緊密間開 之晶粒個別對準時,結果爲較佳之疊對及對準。因此,步 進程序允許在較大直徑晶圓之精確對準。步進器包括有一 自動對準系統,其中使低能量雷射束通過光柵上之對準記 號,並自晶圓表面上之對應對準記號反射。分析所產生之 信號,以確定反射之中心,自其計算相對偏移。將偏移資 訊輸入至一電腦控制之晶圓夾頭,其使晶圓相對於光罩移 動,直到偏移減少低於一預定臨限。 當半導體線寬度及特性大小繼續縮小時,現代化疊對 量測方法之缺點變成愈來愈顯著。準確度受相對於作用區 域特性比例之疊對特性比例所限制對特性#須爲足夠 . \ ... 一· -. / 大,/以可藉目前技術解析,但疊對特性比例常遠大於電路
V 裝置特性。因此需要非設計規格靶或疊對記號。在某些程 序,準確疊對係由邊緣檢測算法所確定,但在界定此等邊 緣之關聯可變性,爲目前量測方法技術之一項缺點。而且 ,目前技術不提供任何可用於確定疊對準確之特性剖面資 訊。 在疊對記號之程序畸變也導致不對準。因爲光學透鏡 系統之不同部位可用以使晶圓之不同區域曝光,整個晶圓 之對準可能被局部化透鏡畸變,聚焦及照射狀況所影響。 當波前工程方法,諸如相移光罩變成更常用時,此等缺點 變成更爲普遍。 -10- 1300584 在習知之半導體處理,當處理晶圓時,步進對準機進 行對準及曝光功能。然而,一單獨之離線步驟用以特徵說 明光罩印刷特性對下面之特性之疊對不對準,亦即,步進 對準機使光阻上之光罩影像相對於晶圓特性有多對準。利 用獨立應用之光學顯微鏡,而非利用製造整合疊對量測方 法工具,進行此種疊對特徵說明。 如人們所知,光學疊對系統之準確度係受光之波長所 限制。小於波長之粒子或表面特性無法檢測。掃描電子顯 微鏡也受到限制,因爲電子不穿透晶圓表面,並因此不可 能使一表面層與表面下之另一層對準。然而,一散射束無 波長限制,並且用於散射測量法程序之某些電磁頻率可穿 透低於晶圓表面。散射測量法量測方法用以測量各種晶圓 特徵,在晶圓表面上面掃描一入射雷射束。在一種形式之 散射測量法,一多重波長束(亦即,由若干頻率組成)入射 在一固定晶圓。在另一形式,自旋轉一晶圓而散射一單一 頻率入射束。反射之光自藉微觀粗糙度及表面特性自表面 散射至一螢幕。一相機捕捉螢幕影像,並將影像資料輸入 至一微處理器,在此處分析影像,以重新構成曾產生特定 螢幕圖形之表面。散射測量法技術用以測量在晶圓表面上 之晶粒大小,輪廓及臨界尺寸。 美國專利5,293,2 1 6號說明一種供半導體製造,依散 射測量法之原理操作之感測器裝置。將一雷射能量之相干 束導向一半導體晶圓表面。測量並分析通過晶圓所反射及 透射之該束之相干及散射部份。此裝置用以確定晶圓之表 -11 - 1300584 面粗糙度及光譜發射率値,然後使其與一薄膜厚度値相關 〇 其他美國專利說明供在一半導體晶圓測量表面特性之 散射測量儀系統之其他應用。美國專利5,923,423號說明 一種供檢測及分析晶圓表面缺陷之外差散射測量儀。美國 專利5,703,692號說明一種以不同入射角照射樣本,而無 需旋轉樣本之光學散射測量儀系統。美國專利6,1 54,280 號說明一種使用二單獨之電磁輻射束測量表面粗糙度之系 統。上述諸先前技藝專利各經予參考倂入本案。 【發明內容】 特別需要一種疊對量測方法程序,其提供一頂層光阻 之光學剖面及其對所有先前各層之疊對關係。 一種決定在半導體晶圓之二層間之準確疊對之例證性 方法使用自一晶圓表面及下面諸層散射之電磁能量,以產 生該諸層之剖面。接近對準記號或靶之晶圓表面以一電磁 能量之入射束照明。檢測自半導體晶圓繞射之電磁能量, 以獲得一光譜解析繞射剖面,其然後與樣本剖面比較。如 果所量測繞射剖面匹配一樣本剖面,則該二層具有與匹配 之樣本剖面相同之對準。 根據本發明之例證性方法可另包括:建立一繞射剖面 之資料庫,供複數之模型化靶對準及不對準取向,及將自 半導體晶圓繞射之電磁能量之繞射剖面與資料庫之繞射剖 面比較,以指明與複數之模型化對準取向之一最佳配合的 -12- 1300584 對準取向。可響應分析步驟之結果或響應檢測器所確定之 對準剖面,而控制一程序。該例證性方法可另包括以多頻 率極化電磁能量照射該半導體晶圓之表面,測量自半導體 晶圓繞射之電磁能量之相對相位變化及相對振幅變化爲波 長之函數,及將測量之相對相位及相對振幅變化與一供一 設計基礎對準剖面之相位及振幅變化之計算光譜比較。 根據本發明之一種實施例,一種供確定半導體晶圓之 疊對對準之裝置包括一儀器供測量與一表面部位關聯之光 譜解析繞射特徵;一計算複數之表面部位對準之光譜解析 繞射特徵之資料庫;及一比較器,用以自資料庫選擇光譜 解析繞射特徵之一作爲與該儀器所測量之光譜解析繞射特 徵之最佳配合。該裝置可另包括一響應比較器之輸出之程 序控制裝置。 【實施方式】 在詳細說明根據本發明之特定方法及設備前,請察知 本發明之很多實施例包括一組之硬體元件及與使用散射測 量法量測方法供在晶圓層之間確定疊對對準有關之方法步 驟。在諸圖式中曾藉習知元件表示例證性硬體元件及軟體 步驟,僅示與本發明有關之諸特定細節,以便精於該項技 藝者將會容易明白之結構細節不使該揭示模糊不清,而有 本案說明之益處。 可使用散射測量法之原理,決定在半導體晶圓結構之 兩層,例如在一光阻光罩與一將行根據光罩圖形作成圖形 -13- 1300584 及蝕刻之下層間之疊對對準。習知之散射測量法提供表面 特性資訊,包括等距相隔之表面特性間之間距、諸特性間 之距離、特性大小及特性輪廓。根據本發明之旨意,將行 對準之諸層可包括對準光柵記號,並因此自晶圓散射之信 號包括建設性及破壞性干涉部位(亦即一種繞射圖形),其 予以分析以自散射之束決定表面及下面諸層之特徵,包括 光柵記號之對準及光柵記號間之垂直距離。散射測量法程 序採用雷射或相干光,以一角度範圍入射在晶圓,每一入 射角在檢測器提供一散射圖形。或者,該程序採用多頻率 光,諸如白色光,以一單一角度入射。 本發明之另一特色爲散射能量無關於入射光之波長與 疊對靶之間距或寬度間之關係。因此,諸靶可以以小至程 序設計規格所需要之尺寸加以形成,以確定任何二裝置特 性間之對準。此種使用散射測量法供疊對量測,允許依據 較小對準特性確定疊對對準,這導致較習知光學技術所可 達成之更準確對準。 圖7中例示例證性對準光柵靶。複數之並排線25形 成在一晶圓表面層(例如一光阻層),及複數之上至下線26 形成在下面層。使用此二組垂直光柵線在X及y方向提供 疊對對準。除了在一晶圓之邊緣形成此等光柵線外,也可 在一個別晶圓晶粒形成這些線,以保證供每一晶粒之疊對 對準。在整個晶圓使用多重靶之對準也減少、不對準( run-in或run-out)及旋轉誤差問題。 現以圖8開始說明本發明之一例證性實施例。一工件 -14- 1300584 諸如一半導體晶圓3 0包括有一可用以確定疊對對準之靶 特性3 4之週期性圖形。靶特性3 4例如包含摻雜部位,二 氧化矽,或多晶矽,金屬線,溝槽或數列通道,形成在一 頂面36下面。一般爲靶特性34形成爲用作一疊對光栅圖 形,而非用作該裝置之作動特性。然而,只要諸作動部位 具有已知之內特性距離,在本發明之範圍內不排除使用作 用裝置部位供疊對對準。一上面光罩40包括複數之光柵 線42,供與諸靶特性34對準。爲了要在X及y方向均提 供對準,必須使諸靶特性3 4以已知角度,諸如圖7中所 例示之垂直線26及27彼此定向。如以下所更完全說明, 諸靶34與諸光柵線42間之疊對對準予以特徵說明,並與 可能之不對準狀況比較,使得不對準狀況之程度及性質產 生一反饋信號輸入至對準工具,供用於使晶圓移動,以使 光柵線42及靶特性34對準。 如圖9中所例示,將入射輻射諸如極化電磁能量之入 射束50以一相對於法線57之入射角0導向一晶圓56之 基板頂面52。入射束50可爲單一或多重波長之相干雷射 能量或非相干能量。入射束5 0之能量與晶圓5 6相互作用 ,以產生一電磁能量之繞射或散射束5 8,並以相對於線 57成一角度0離開晶圓。角度0爲角0及入射光之波長 之函數。如在本文所提及之繞射束或繞射輻射,請瞭解包 括由入射束與晶圓56間之各種相互作用所產生之所有電 磁能量,包括反射(亦即鏡面相干反射),散射(亦即非鏡 面非相干反射),彎曲,及吸收成份。在晶圓5 6之每一層 -15- 1300584 界面,能量可根據入射角及材料之折射率之差異而透射及 反射。因此,當電磁能量通過晶圓5 6之材料時,其可被 部份吸收,並且其行進方向改變。 所產生之繞射束5 8爲入射束5 0之特性,入射角,及 晶圓5 6之特性的函數,該晶圓特性包括光柵線42與存在 晶圓5 6表面或在下面部位之靶特性間之對準。如果在諸 疊對靶之間有對準,則當入射束自晶圓5 6之一側掃描至 另一側時,將會產生一對稱繞射圖形。在繞射圖形之不對 稱表示靶未對準。將繞射束5 8輸入至一檢測器60,並且 檢測器60提供一表示散射束58之信號至一處理器62, 以供下面另外所討論之分析。 入射束50之一種代表性波長約爲200-1500毫微米。 當能量通過諸晶圓層時必須不被強烈吸收,另外波長應該 有利約爲與材料之特性大小相同量級,以產生一可自其析 取疊對資訊之繞射束。 可自一多重頻率源(例如白色光)或自多重單一頻率源 (例如複數之雷射束)射出入射束50。也可在一頻率之範圍 掃掠入射束50之頻率。爲了要掃描入射束50越過整個晶 圓5 6,可旋轉光束源,晶圓5 6或檢測器6 0之一項或多 項。 市面上現有軟體程式用以分析一樣本,諸如晶圓56 之繞射特性。一此種程式可自KLA-Tencor Corporation of San Jose, California購得。此等程式可使用於一程序 8 〇,請見圖1 0,用以決定在晶圓5 6中之至少二層間之疊 -16- 1300584 對。首先在步驟82使用該分析程式,以將假設性晶圓兩 層間之一種對準狀況之繞射束5 8之特性模型化。重複步 驟82在二層間所可能存在之任何數之可能對準及不對準 狀況,並將模型化結果儲存在一資料庫84。資料庫84可 例如包括1 00,000或甚至一百萬或更多此等結果。 然後在步驟86使用圖9之測量儀表檢查諸靶之對準 ’以針對來自該晶圓之繞射束之光譜特徵,提供對準之特 徵說明。光譜特徵予以處理,以確定實際晶圓之對準疊對 。用於處理光譜特徵以確定結構特徵說明之電路可例如包 括一比較器88。比較器88用以將儲存在資料庫84之模 型化結果與在步驟86所確定之光譜特徵比較。比較器88 可爲一實施一種最佳配合分析或其他已知數値處理技術之 電腦化資料處理裝置。模型化光譜之最佳配合在步驟90 予以選擇爲表示在晶圓5 6之靶記號之實際對準,並可進 一步用以控制一下游及/或上游程序控制裝置92。晶圓56 之疊對對準之可接受性呈現實際對準是否爲在一設計基礎 之界定容限範圍以內。如果對準爲在可接受容限外,程序 變數可對其響應而改變,供一疊對程序裝置諸如一步進對 準機使程序回至設計爲主之狀況。 本文中雖已圖示及說明本發明之較佳實施例,但將會 明白’此等實施例僅爲意在作爲實例所提供。精於該項技 藝者將會想出很多變化,改變及替代,而不偏離本中之發 明。例如,上述槪念之應用無需限於一種比較性功能。因 之,本發明意爲僅受後附申請專利範圍之精神及範圍所限 -17- 1300584 制。 【圖式簡單說明】 自本發明之上列詳細說明,配合附圖讀之,將會明白 本發明之諸多特色及優點,其中相同參考圖號在所有不同 諸圖式中指相冋部份。諸圖式不一'定依照比例,代之爲強 調例示本發明之原理。 圖1及2例示供半導體疊對量測方法之例證性對準記 圖3,4及5例示例證性不對準狀況。 圖6爲二晶圓特性間之不對準之剖面圖。 圖7例示供根據本發明之旨意使用之例證性光柵。 圖8例示一可應用本發明之旨意之晶圓表面及一疊對 層。 圖9例示一供配合本發明使用之散射測量儀系統之簡 化功能圖。 圖1 0例示一供根據本發明確定疊對對準之系統及方 法。 主要元件對照表 1 〇 :十字形 1 2 :正方形 1 4 :正方形 1 6 :正方形 •18- 1300584 2 0 :特性 22 :特性 24 :箭頭 25 :並排線 26 :頂至底線 3 0 =半導體晶圓 34 :靶特性 3 6 :頂面 40 :上面光罩 42 :光柵線 5 〇 :入射束 52 :基板頂面 5 6 :晶圓 5 7 :法線 5 8 :散射束 60 :檢測器 62 :處理器 8 〇 :程序 82 :步驟 84 :資料庫 86 :步驟 8 8 :比較器 9 0 :步驟 92 :程序控制裝置 -19-

Claims (1)

1300584 拾、申請專利範圍 1. 一種用於製造半導體裝置的方法,包含: 以電磁能量入射束,照射一半導體晶圓,其中該半導 體晶圓具有至少兩層,在各個層中具有疊對之對準特性; 決定由該半導體晶圓所繞射之該電磁能量特徵;及 由該等特徵決定在該至少兩層間之疊對對準;
其中該決定特徵的步驟更包含相較於該入射電磁能量 與該繞射電磁能量,以該入射束與該半導體晶圓平面間之 角度爲函數,決定相位變化及振幅變化之至少一者。 2. —種用於製造半導體裝置的方法,包含: 以電磁輻射照射具有至少兩特性之半導體工件,該特 性係一個疊對於另一個上; 取得自該工件繞射之該輻射的頻譜解析特徵; 分析該等特徵,以特徵化該兩特性的相對對準;
以電磁能量入射束,照射一半導體晶圓,其中該半導 體晶圓具有至少兩層,在各個層中具有疊對的對準特性; 決定由該半導體晶圓繞射的該電磁能量的特徵;及 由該等特徵,決定在該至少兩層間之該疊對對準,其 中決定該疊對對準的步驟更包含決定於該至少兩層間之垂 直距離。 -20- 130058^ :第 92108572 號專利申請案 中文圖式替換頁 民國96年I2月21日修正 80 5/5圖10
月W日修(交)正替換貝I 82 84
•86 •88 90 92 1300584 陸、(一)、本案指定代表圖為:第ίο圖 (二)、本代表圖之元件代表符號簡單說明:
82 步驟 84 資料庫 86 步驟 88 比較器 90 步驟 92 程序控制裝置 柒、本案若有化學式時,請揭示最能顯示發明特徵的化學 式·
j\ w
TW092108572A 2002-05-30 2003-04-14 Overlay metrology using scatterometry profiling TWI300584B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/158,775 US6985229B2 (en) 2002-05-30 2002-05-30 Overlay metrology using scatterometry profiling

Publications (2)

Publication Number Publication Date
TW200407961A TW200407961A (en) 2004-05-16
TWI300584B true TWI300584B (en) 2008-09-01

Family

ID=22569655

Family Applications (1)

Application Number Title Priority Date Filing Date
TW092108572A TWI300584B (en) 2002-05-30 2003-04-14 Overlay metrology using scatterometry profiling

Country Status (5)

Country Link
US (1) US6985229B2 (zh)
JP (2) JP5025882B2 (zh)
KR (1) KR100948495B1 (zh)
GB (1) GB2390899A (zh)
TW (1) TWI300584B (zh)

Families Citing this family (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7317531B2 (en) 2002-12-05 2008-01-08 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
US7541201B2 (en) 2000-08-30 2009-06-02 Kla-Tencor Technologies Corporation Apparatus and methods for determining overlay of structures having rotational or mirror symmetry
US7196782B2 (en) * 2000-09-20 2007-03-27 Kla-Tencor Technologies Corp. Methods and systems for determining a thin film characteristic and an electrical property of a specimen
US6891627B1 (en) 2000-09-20 2005-05-10 Kla-Tencor Technologies Corp. Methods and systems for determining a critical dimension and overlay of a specimen
JP4751017B2 (ja) * 2001-08-23 2011-08-17 エフ・イ−・アイ・カンパニー システムを制御する方法およびこの方法のステップを実行するための命令を含むコンピュータ可読媒体
US20040041912A1 (en) * 2002-09-03 2004-03-04 Jiabin Zeng Method and apparatus for video metrology
US6950190B2 (en) * 2003-01-09 2005-09-27 Therma-Wave, Inc. Scatterometry for junction metrology
EP1630857A4 (en) * 2003-05-28 2008-04-16 Nikon Corp METHOD AND DEVICE FOR MEASURING POSITION INFORMATION, AND METHOD AND SYSTEM FOR EXPOSURE
US7271921B2 (en) * 2003-07-23 2007-09-18 Kla-Tencor Technologies Corporation Method and apparatus for determining surface layer thickness using continuous multi-wavelength surface scanning
GB0409485D0 (en) * 2004-04-28 2004-06-02 Ucl Biomedica Plc Fluid propelled endoscope
US7791727B2 (en) 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US20080144036A1 (en) * 2006-12-19 2008-06-19 Asml Netherlands B.V. Method of measurement, an inspection apparatus and a lithographic apparatus
JP4624040B2 (ja) * 2004-09-06 2011-02-02 日本水産株式会社 菌体培養方法
DE102004047624A1 (de) * 2004-09-30 2006-04-20 Infineon Technologies Ag Verfahren zur Korrektur der Überdeckungsgenauigkeit bei der photolithographischen Strukturierung eines Halbleiterwafers
CN100468792C (zh) * 2004-11-24 2009-03-11 杨秋忠 整合型发光二极管及其制造方法
US7477396B2 (en) * 2005-02-25 2009-01-13 Nanometrics Incorporated Methods and systems for determining overlay error based on target image symmetry
KR20070033106A (ko) * 2005-09-20 2007-03-26 삼성전자주식회사 반도체 소자의 오버레이 측정 방법 및 오버레이 측정시스템
US7738729B2 (en) * 2006-08-02 2010-06-15 Morpho Detection, Inc. Systems and methods for reducing an artifact within an image
US7858404B2 (en) 2007-03-14 2010-12-28 Taiwan Semiconductor Manufacturing Company, Ltd. Measurement of overlay offset in semiconductor processing
US7751067B1 (en) * 2007-05-24 2010-07-06 Ultratech, Inc. Substrate-alignment using detector of substrate material
US20090296075A1 (en) * 2008-05-29 2009-12-03 Nanometrics Incorporated Imaging Diffraction Based Overlay
JP5623033B2 (ja) * 2009-06-23 2014-11-12 ルネサスエレクトロニクス株式会社 半導体装置、リソグラフィ方法、及び半導体装置の製造方法
NL2005459A (en) 2009-12-08 2011-06-09 Asml Netherlands Bv Inspection method and apparatus, and corresponding lithographic apparatus.
CN103425004B (zh) * 2012-05-18 2015-07-22 上海微电子装备有限公司 硅片对准信号的处理方法
WO2014074873A1 (en) 2012-11-09 2014-05-15 Kla-Tencor Corporation Reducing algorithmic inaccuracy in scatterometry overlay metrology
US10495982B2 (en) * 2013-10-28 2019-12-03 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for real-time overlay error reduction
US10311198B2 (en) * 2014-02-16 2019-06-04 Nova Measuring Instruments Ltd. Overlay design optimization
JP2017083174A (ja) * 2014-03-13 2017-05-18 株式会社東芝 リソグラフィ原版検査方法、および、検出用リソグラフィ原版
KR102237698B1 (ko) * 2014-04-15 2021-04-08 삼성전자주식회사 오버레이 마크의 비대칭부 검출 방법 및 이를 포함하는 오버레이 계측 방법
US9612108B2 (en) 2014-11-14 2017-04-04 Kabushiki Kaisha Toshiba Measurement apparatus and measurement method
US10451412B2 (en) 2016-04-22 2019-10-22 Kla-Tencor Corporation Apparatus and methods for detecting overlay errors using scatterometry
JP2020041859A (ja) 2018-09-07 2020-03-19 キオクシア株式会社 位置計測方法、位置計測装置および半導体装置の製造方法

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4631416A (en) * 1983-12-19 1986-12-23 Hewlett-Packard Company Wafer/mask alignment system using diffraction gratings
JPS622112A (ja) * 1985-06-28 1987-01-08 Ando Electric Co Ltd 反射光による表面粗さ計
KR900004269B1 (ko) 1986-06-11 1990-06-18 가부시기가이샤 도시바 제 1물체와 제 2 물체와의 위치 맞추는 방법 및 장치
JPS6319508A (ja) * 1986-07-11 1988-01-27 Kobe Steel Ltd 表面粗さ測定装置
US4728193A (en) 1986-12-11 1988-03-01 Hughes Aircraft Company Precision automatic mask-wafer alignment system
US4757207A (en) * 1987-03-03 1988-07-12 International Business Machines Corporation Measurement of registration of overlaid test patterns by the use of reflected light
US4988197A (en) 1987-12-28 1991-01-29 Kabushiki Kaisha Toshiba Method and apparatus for aligning two objects, and method and apparatus for providing a desired gap between two objects
US5343292A (en) 1990-10-19 1994-08-30 University Of New Mexico Method and apparatus for alignment of submicron lithographic features
JPH06244261A (ja) * 1990-12-31 1994-09-02 Texas Instr Inc <Ti> 半導体装置製造プロセス制御用センサ
US5355306A (en) 1993-09-30 1994-10-11 Motorola, Inc. Alignment system and method of alignment by symmetrical and asymmetrical analysis
GB2297447A (en) * 1995-01-27 1996-07-31 Seagate Microelectron Ltd Amplifier
US5808742A (en) * 1995-05-31 1998-09-15 Massachusetts Institute Of Technology Optical alignment apparatus having multiple parallel alignment marks
US5703692A (en) * 1995-08-03 1997-12-30 Bio-Rad Laboratories, Inc. Lens scatterometer system employing source light beam scanning means
US5877860A (en) * 1996-05-13 1999-03-02 Boxer Cross, Inc. System and method for measuring the microroughness of a surface of a substrate
US6023338A (en) * 1996-07-12 2000-02-08 Bareket; Noah Overlay alignment measurement of wafers
US5923423A (en) * 1996-09-12 1999-07-13 Sentec Corporation Heterodyne scatterometer for detecting and analyzing wafer surface defects
US6483580B1 (en) * 1998-03-06 2002-11-19 Kla-Tencor Technologies Corporation Spectroscopic scatterometer system
WO2001084392A1 (fr) * 2000-04-28 2001-11-08 The Tokio Marine And Fire Insurance Co., Ltd. Systeme d'assistance routiere par telephone portable
JP2003532306A (ja) * 2000-05-04 2003-10-28 ケーエルエー・テンコール・テクノロジーズ・コーポレーション リソグラフィ・プロセス制御のための方法およびシステム
US6643557B1 (en) * 2000-06-09 2003-11-04 Advanced Micro Devices, Inc. Method and apparatus for using scatterometry to perform feedback and feed-forward control
US6710876B1 (en) * 2000-08-14 2004-03-23 Kla-Tencor Technologies Corporation Metrology system using optical phase
EP1184725A1 (en) * 2000-09-04 2002-03-06 Infineon Technologies SC300 GmbH & Co. KG Method for adjusting a lithographic tool
IL138552A (en) 2000-09-19 2006-08-01 Nova Measuring Instr Ltd Measurement of transverse displacement by optical method
WO2002065545A2 (en) 2001-02-12 2002-08-22 Sensys Instruments Corporation Overlay alignment metrology using diffraction gratings
EP1370828B1 (en) * 2001-03-02 2016-11-23 Accent Optical Technologies, Inc. Line profile asymmetry measurement using scatterometry
US20020192577A1 (en) * 2001-06-15 2002-12-19 Bernard Fay Automated overlay metrology system
DE10142316A1 (de) * 2001-08-30 2003-04-17 Advanced Micro Devices Inc Halbleiterstruktur und Verfahren zur Bestimmung kritischer Dimensionen und Überlagerungsfehler
US6737208B1 (en) * 2001-12-17 2004-05-18 Advanced Micro Devices, Inc. Method and apparatus for controlling photolithography overlay registration incorporating feedforward overlay information

Also Published As

Publication number Publication date
TW200407961A (en) 2004-05-16
JP2004006895A (ja) 2004-01-08
GB2390899A (en) 2004-01-21
GB0308958D0 (en) 2003-05-28
KR100948495B1 (ko) 2010-03-23
KR20030094047A (ko) 2003-12-11
US20030223066A1 (en) 2003-12-04
US6985229B2 (en) 2006-01-10
JP2010204117A (ja) 2010-09-16
JP5025882B2 (ja) 2012-09-12

Similar Documents

Publication Publication Date Title
TWI300584B (en) Overlay metrology using scatterometry profiling
US11092900B2 (en) Method and apparatus for measuring a parameter of a lithographic process, substrate and patterning devices for use in the method
KR101188532B1 (ko) 연속 가변 오프셋 마크 및 오버레이 결정 방법
US11385552B2 (en) Method of measuring a structure, inspection apparatus, lithographic system and device manufacturing method
WO2015175425A1 (en) Apparatus, techniques, and target designs for measuring semiconductor parameters
JP2019507375A (ja) パターン形成プロセスを制御する方法、リソグラフィ装置、メトロロジ装置リソグラフィックセル、および関連するコンピュータプログラム
US10747124B2 (en) Method of measuring a target, metrology apparatus, polarizer assembly
EP3611567A2 (en) Improvements in metrology targets
JP7203725B2 (ja) 基板の特性を測定する方法、検査装置、リソグラフィシステム、及びデバイス製造方法
TWI604276B (zh) 判定邊緣置放誤差之方法,檢測裝置,圖案化器件,基板及器件製造方法
TWI820371B (zh) 用於微影裝置製造程序之檢測工具及度量衡方法
US10831111B2 (en) Metrology method and lithographic method, lithographic cell and computer program

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees