TWI284929B - Remote chamber methods for removing surface deposits - Google Patents

Remote chamber methods for removing surface deposits Download PDF

Info

Publication number
TWI284929B
TWI284929B TW094121537A TW94121537A TWI284929B TW I284929 B TWI284929 B TW I284929B TW 094121537 A TW094121537 A TW 094121537A TW 94121537 A TW94121537 A TW 94121537A TW I284929 B TWI284929 B TW I284929B
Authority
TW
Taiwan
Prior art keywords
gas
gas mixture
oxygen
carbon
nitrogen
Prior art date
Application number
TW094121537A
Other languages
Chinese (zh)
Other versions
TW200623240A (en
Inventor
Herbert Harold Sawin
Bo Bai
Original Assignee
Massachusetts Inst Technology
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Massachusetts Inst Technology filed Critical Massachusetts Inst Technology
Publication of TW200623240A publication Critical patent/TW200623240A/en
Application granted granted Critical
Publication of TWI284929B publication Critical patent/TWI284929B/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0035Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F4/00Processes for removing metallic material from surfaces, not provided for in group C23F1/00 or C23F3/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02CCAPTURE, STORAGE, SEQUESTRATION OR DISPOSAL OF GREENHOUSE GASES [GHG]
    • Y02C20/00Capture or disposal of greenhouse gases
    • Y02C20/30Capture or disposal of greenhouse gases of perfluorocarbons [PFC], hydrofluorocarbons [HFC] or sulfur hexafluoride [SF6]

Abstract

The present invention relates to an improved remote plasma cleaning method for removing surface deposits from a surface, such as the interior of a deposition chamber that is used in fabricating electronic devices. The improvement involves addition of a nitrogen source to the feeding gas mixture comprising of oxygen and fluorocarbon. The improvement also involves pretreatment of interior surface of the pathway from the remote chamber to the surface deposits by activating a pretreatment gas mixture comprising of nitrogen source and passing the activated pretreatment gas through the pathway.

Description

1284929 九、發明說明: 【發明所屬之技術領域】 本發明係關於一種用來移除表面沉積物的方法,是夢著 使用一種以遠距活化含氧、氟化碳及氮源之氣體混合物所 • 創造的經活化氣體。更特定地,本發明係關於一種用來從 化學蒸氣沉積室的内面移除表面沉積物的方法,使用經遠 距活化含氧、過氟化碳化合物及氮源之氣體混合物所創造 的經活化氣體。 ^ 【先前技術】 用來生產原子氟的遠距電漿來源被廣泛用於半導體製程 工業中的室清潔,特別是在清潔化學蒸氣沉積(CVD)及電漿 增進化學蒸氣沉積(PECVD)所用的室(chambers)。遠距電漿 來源的使用避免一些室内面材質的腐蝕,其在就地室清潔 時發生,其中該清潔是在PECVD室中、以創造電漿釋出來 進行。當電容性及電導性偶*RF及微波遠距來源被發展用 於這些種類的用途時,該工業快速移向變壓器偶合之導電 偶合來源,其中電槳具有超環面(t〇rr〇idal)型態,並且作為 變壓器的第二線(secondary)。較低RF動力的使用容許使用 磁性核心,其增進相關於電容性偶合之電導性偶合;因此 谷許更有效轉私肖b ϊ到電漿,而無過量的離子撞擊,其限 制了运距電敷來源室内面的壽命。 半導體工業對至巧潔從氟化碳與氧的混合物移開,該混 合物起初因許多理由而為用於就地室清潔的主要氣體。首 先,來自此類製程之全球溫室氣體的排放,一般是比三氟 102526.doc 1284929 化氮(NF3)製程的高出許多。NF3在釋出中更容易解離,並 且不由產物種類的再組合而大大地形成。因此,低量全球 溫室排放可更容易地達成。對照下,氟化碳類較難在釋出 中被分解,並且再組合形成如··四氟甲烷(CF4)的物種,其比 其他氟化碳更難被分解。1284929 IX. DESCRIPTION OF THE INVENTION: FIELD OF THE INVENTION The present invention relates to a method for removing surface deposits by dreaming of using a gas mixture that activates oxygen, fluorinated carbon and nitrogen sources over a long distance. Activated gas created. More particularly, the present invention relates to a method for removing surface deposits from the inner surface of a chemical vapor deposition chamber, using activation activated by remotely activating a gas mixture of oxygen, perfluorocarbon compounds, and nitrogen sources. gas. ^ [Prior Art] The source of remote plasma used to produce atomic fluorine is widely used for chamber cleaning in the semiconductor process industry, particularly in clean chemical vapor deposition (CVD) and plasma enhanced chemical vapor deposition (PECVD). Chambers. The use of remote plasma sources avoids corrosion of some interior materials that occur when the in-place chamber is cleaned, where the cleaning is done in the PECVD chamber to create the plasma. When capacitive and electrically conductive coupled *RF and microwave remote sources are developed for these types of applications, the industry quickly moves to a source of conductive coupling for transformer coupling, where the electric paddle has a toroidal surface (t〇rr〇idal) Type, and as the second line of the transformer. The use of lower RF power allows the use of a magnetic core that enhances the conductance coupling associated with capacitive coupling; therefore, it is more efficient to transpose the buck to the plasma without excessive ion impact, which limits the distance travel. Apply the life of the source indoors. The semiconductor industry has moved away from a mixture of fluorinated carbon and oxygen, which was originally the primary gas used for in-situ chamber cleaning for a number of reasons. First, global greenhouse gas emissions from such processes are generally much higher than those of the trifluorocarbon (NF3) process. NF3 is more readily dissociated in release and is not greatly formed by recombination of product species. Therefore, low-volume global greenhouse emissions can be achieved more easily. In contrast, fluorinated carbons are more difficult to decompose in release and recombined to form species such as tetrafluoromethane (CF4), which is more difficult to decompose than other fluorinated carbons.

第二,一般發現:氟化碳釋出產生”聚合物”沉積,其需 要更經常的濕清潔’以移除這些在重覆乾清潔之後累積的 沉積物。氟化碳清潔成沉積,,聚合物”的趨勢在遠距清潔中 發生較大量’其中無離子撞擊在清潔期間發生。這些觀察 勸離工業界發展以氟化碳進料氣體為基礎的工業製程。事 實上,PECVD設備製造商測試以氟化碳釋放電為基礎的遠 距清潔,但至今不成功,因為聚合物沉積在製程室中。 然而,若上述的兩個缺點可被解決,氟化碳氣體對其低 成本及低毒性為理想的。 而先前工作以過氟化碳/氧放電與氮添加來完成,以增進 φ 氮化矽的蝕刻。該增進被認為是NO形成的結果,是藉著依 序在氮化矽表面上與N反應的放電,續以si原子的有效氟 化’形成揮發性的產物λ C.H· Oh等人Surface and CoatingsSecond, it has been generally found that the release of fluorocarbon produces a "polymer" deposit that requires more frequent wet cleaning to remove these deposits that accumulate after repeated dry cleaning. The cleansing of carbon fluoride into deposits, the tendency of polymers to occur in remote cleaning, where no ion impact occurs during cleaning. These observations advise the industry to develop industrial processes based on fluorinated carbon feed gases. In fact, PECVD equipment manufacturers test remote cleaning based on fluorinated carbon release, but have so far been unsuccessful because polymers are deposited in the process chamber. However, if the above two disadvantages can be solved, fluorination Carbon gas is ideal for its low cost and low toxicity. Previous work was done with perfluorocarbon/oxygen discharge and nitrogen addition to enhance the etching of φ tantalum nitride. This enhancement is considered to be the result of NO formation. By the sequential discharge of N on the surface of tantalum nitride, the formation of volatile products by the effective fluorination of the Si atom λ CH· Oh et al. Surface and Coatings

Technology 171 (2003) 267。 【發明内容】 本發明係關於一種用來移除表面沉積物的方法,該方法 包含:(a)在遠室中活化一種含氧、氟化碳及氮源之氣體混 合物’其中氧及氟化碳之莫耳比率為至少1 : 3,使用足夠 的動力一段足夠時間,使得該氣體混合物達到至少約3,〇〇〇 102526.doc 1284929 κ的中和溫度’以形成經活化之氣體混合物;及之後㈨將 該經活化之氣體混合物與表面沉積物接觸,並且因此移除 '至少一些該表面沉積物。 /' , 本發明也係關於-㈣來移除表面沉積物的方法,該表 ~ 面沉積物是選自由石夕、經摻雜的石夕、氮化石夕、姜烏、二氧化 - 矽、碳化矽及被稱為低Κ材料之多種矽氧化合物所組成之族 群,該方法包含:⑷在遠室中活化一種含氧、氣化碳及氮 φ 源之氣體混合物,其中氧及氟化碳之莫耳比率為至少1: 3 ; 及之後(b)將該經活化之氣體混合物與表面沉積物接觸,並 且因此移除至少一些該表面沉積物。 本發明進一步係關於一種用來移除表面沉積物的方法, 該方法包含:⑷在遠室中活化一種含氮源之前處理氣體混 合物;及之後(b)將該經活化之前處理氣體混合物與從遠室 到表面沉積物之通道的至少一部份内面接觸;(勹在遠室中 活化一種含氧及氟化碳之清潔氣體混合物,其中氧及氟化 鲁碳之莫耳比率為至W ·· 3 ;及之後⑷將該經活化之清潔氣 體混合物通過該通道;(e)將該經活化之清潔氣體混合物與 表面沉積物接觸,並且因此移除至少一些該表面沉積物。Technology 171 (2003) 267. SUMMARY OF THE INVENTION The present invention is directed to a method for removing surface deposits, the method comprising: (a) activating a gas mixture of oxygen, a fluorinated carbon, and a nitrogen source in a remote chamber, wherein oxygen and fluorination a carbon molar ratio of at least 1:3, using sufficient power for a sufficient period of time to bring the gas mixture to a neutralization temperature of at least about 3, 〇〇〇102,526.doc 1284929 κ to form an activated gas mixture; Thereafter (9) the activated gas mixture is contacted with the surface deposit and thus at least some of the surface deposit is removed. /', the present invention is also directed to - (d) to remove surface deposits, the surface deposit is selected from the group consisting of Shi Xi, doped Shi Xi, nitrite, ginger, sulphur - bismuth, a group of tantalum carbides and various oxygen-containing compounds known as low-lying materials, the method comprising: (4) activating a gas mixture of oxygen, gasified carbon and nitrogen gas sources in a remote chamber, wherein oxygen and carbon fluoride The molar ratio is at least 1:3; and thereafter (b) contacting the activated gas mixture with the surface deposit and thereby removing at least some of the surface deposit. The invention further relates to a method for removing surface deposits, the method comprising: (4) treating a gas mixture prior to activating a nitrogen-containing source in a remote chamber; and thereafter (b) treating the treated gas mixture prior to activation At least a portion of the passage from the far chamber to the surface deposit is in surface contact; (勹 in the remote chamber activates a cleaning gas mixture containing oxygen and fluorinated carbon, wherein the ratio of oxygen to fluorinated Lu carbon is up to W 3; and thereafter (4) passing the activated cleaning gas mixture through the passage; (e) contacting the activated cleaning gas mixture with the surface deposit, and thus removing at least some of the surface deposit.

在本發明中之表面沉積物移除,包含一般以化學蒸氣沉 積或電漿增進化學蒸氣沉積、或類似製程所沉積的那些 物質。此類物質包括··矽、經摻雜的矽、氮化矽、鎢、二 氧化矽、氧基氮化矽、碳化矽及被稱為低K材料之各種矽氧 化5物’如· fsg(氟化石夕酸鹽玻璃)及siCOH、或PECVD Q Q , ’匕括 Black Diamond(Applied Materials)、Coral 102526.doc 1284929 (ovellus Systems)及 Aurora(ASM International)。 本發明的一個具體實施例是從製程室的内面移除表面沉 積物,该室被用於製造電子裝置。此製程室可為化學蒸氣 >儿積(C VD)室或電漿增進化學蒸氣沉積vd)室。 本發明的製程牵涉到使用足夠動力的活化步驟,以形成 種、、i活化氣體。活化可以容許達成大部份進料氣體解離 的任何方式完成,如:RF能量、DC能量、雷射照度及微波 φ 旎篁。所得電漿的中和溫度取決於動力及氣體混合物在遠 室中的滯留時間。在本發明中發現:添加氮幫助RF動力的 吸收。在某些動力輸出及條件下,中和溫度隨較長的滯留 時間而更高。在此,較佳中和溫度會高過約3,〇〇〇K。在適 當條件下(考慮動力、氣體組合物、氣壓及氣體滯留時間), 可達到至少約6000 Κ的中和溫度,例如:以八氟環丁烷。 經活化氣體在製程室外面的遠室中形成,但其很接近製 程室。該遠室是以容許轉移經活化氣體從遠室到製程室的 • 任何裝置連接到製程室。遠室及用來連接遠室及製程室的 裝置,是以此領域已知能夠包含經活化氣體混合物的材料 所建構。例如:鋁及不鏽鋼一般使用於室組份。有時候, Ah〇3塗覆在内面,以減少表面再組合。 被活化以形成活化氣體的氣體混合物包含氧、氮源及氟 化石反本發明的氟化破在此被指為含C及F的化合物。本發 月中的較佳氟化碳為過氟化碳化合物。本發明中的過氟化 碳化合物在此被指為由c、F及視情況有氧所構成的化合 物。此類過氟化碳化合物包括、但不限於四氟甲烷、六氟 102526.doc 1284929 乙烧、八氟丙烷、六氟環丙烷、十氟丁烷、八氟環丁烷、 羰基氟及八氟四氫囷喃。較佳的氣體混合物具有氧及氟化 碳之莫耳比率為至少i : 3。i佳的氣體混合物具有氧及氣 化碳之莫耳比率為至少從約2 : 1至約2〇 : 1。 本發明的氮源在此被指為在本發明之放電條件下可產生 原子氮的氣體。此在之氮源實例包括 '但不限於:N2、NF3 及所有種類的氧化氮,如:NO、AO、N〇2等。 Φ 被活化以形成活化氣體之氣體混合物可進一步包含載體 氣體,諸如氬及氦。Surface deposit removal in the present invention includes those materials which are typically deposited by chemical vapor deposition or plasma enhanced chemical vapor deposition, or the like. Such materials include ruthenium, tantalum nitride, tungsten, ruthenium dioxide, bismuth oxynitride, tantalum carbide, and various ruthenium oxides called low-k materials, such as · fsg ( Fluorite powder glass) and siCOH, or PECVD QQ, 'including Black Diamond (Applied Materials), Coral 102526.doc 1284929 (ovellus Systems) and Aurora (ASM International). One embodiment of the present invention removes surface deposits from the interior of the process chamber that are used to fabricate electronic devices. The process chamber can be a chemical vapor >CVD chamber or a plasma enhanced chemical vapor deposition vd) chamber. The process of the present invention involves the use of an activation step of sufficient power to form species, i. Activation can be accomplished in any manner that allows most of the feed gas to dissociate, such as RF energy, DC energy, laser illuminance, and microwave φ 旎篁. The neutralization temperature of the resulting plasma depends on the residence time of the power and gas mixture in the remote chamber. It has been found in the present invention that the addition of nitrogen aids in the absorption of RF power. Under certain power outputs and conditions, the neutralization temperature is higher with longer residence times. Here, the preferred neutralization temperature will be higher than about 3, 〇〇〇K. Under appropriate conditions (considering power, gas composition, gas pressure and gas residence time), a neutralization temperature of at least about 6000 Torr can be achieved, for example, with octafluorocyclobutane. The activated gas is formed in the remote chamber outside the process chamber, but it is very close to the process chamber. The remote chamber is connected to the process chamber by any means that allows the transfer of activated gas from the remote chamber to the process chamber. The remote chamber and the means for connecting the remote chamber to the process chamber are constructed from materials known in the art to be capable of containing an activated gas mixture. For example, aluminum and stainless steel are generally used in chamber components. Sometimes, Ah〇3 is coated on the inside to reduce surface recombination. The gas mixture activated to form the activating gas comprises oxygen, a nitrogen source and a fluorinated fossil. The fluorination of the present invention is referred to herein as a compound containing C and F. The preferred fluorinated carbon in this month is a perfluorocarbon compound. The perfluorocarbon compound in the present invention is herein referred to as a compound composed of c, F and optionally aerobic. Such perfluorocarbon compounds include, but are not limited to, tetrafluoromethane, hexafluoro 102526.doc 1284929, ethidium, octafluoropropane, hexafluorocyclopropane, decafluorobutane, octafluorocyclobutane, carbonyl fluoride, and octafluorocarbon. Tetrahydrofuran. Preferably, the gas mixture has a molar ratio of oxygen to carbon fluoride of at least i:3. Preferably, the gas mixture has a molar ratio of oxygen to vaporized carbon of at least from about 2:1 to about 2:1. The nitrogen source of the present invention is herein referred to as a gas which produces atomic nitrogen under the discharge conditions of the present invention. Examples of such nitrogen sources include 'but are not limited to: N2, NF3, and all kinds of nitrogen oxides, such as NO, AO, N〇2, and the like. The gas mixture Φ activated to form the activating gas may further comprise a carrier gas such as argon and helium.

本發明的一個較佳具體實施例是一種從用於製造電子裝 置之製程室的内面移除表面沉積物的方法,該方法包含·· ⑷在遠室中活化-種含氧、過氟化碳化合物及氮源,其中 含氧及過氟化碳化合物的莫耳比率為至少丨:3,使用足夠 的動力一段足夠時間,使得該氣體混合物達到至少約3,〇〇〇 Κ的中和溫度以形成經活化之氣體混合物;及之後將 該經活化之氣體混合物與該表面沉積室内面接觸,並且 因此移除至少一些該表面沉積物。 也發現:在本發明中’氮氣可大大增加_速率。在本 發明的-個具體實關中,過氣化碳化合物是人氣環丁院 (Zyron® 8020),由DuPont製造。如下列顯示之實例中所證 實的,無氮氣,Zyron® 8020產生低蝕刻速率及高c〇h釋 出。姓刻速率開始以小量氮改氮添加超過某2此 份量時飽和(見圖2及3)。氮添加也增加動力消耗並且減少 COF2釋出(見圖2及4)。 102526.doc -10- 1284929 也發現··在本發明之類似條件下,過a化碳化合物的缺 P王球咖至氣體的排放及聚合物沉積,可被克服。 在本毛月的只驗中’在室的内表面無重大聚合物沉積被發 現。全球溫室氣體釋出也非常低,如圖5中所顯示。 進γ 土現.從遇至到表面沉積物之通道内部表面的一 些前處理可增加_速率。在本發日月中,前處理可以活化 含氮源之前處理氣航合物、並且將㈣化之前處理氣體 通過該通道而達成。在敘述於實例4中的一個具體實施例 中’從遠室到表面沉積物之通道以經活化氮及氬氣體混合 物前處理3秒。在前處理之後,钱刻速率在高程度開始。 另外,該系統也被用來改變置於遠室中的表面,是藉著 與氟原子及來自該來源的其他組份接觸。 【實施方式】 下列貫例意為說明本發明,並且不意於限制之。 實例 圖1顯示用來測量蝕刻速率、電漿中和溫度及排出釋放物 之运距電漿來源及裝置的流程圖。該遠距電漿來源是商業 化超環面型的MKS ASTRON®ex反應性氣體產生器單元,由 MKS Instmments,Andover,MA,USA製造。進料氣體 2(例 如·氧、氟化碳、氬)從左邊被導入遠距電漿來源丨,並且 通過超環面放電,其中其以400千赫茲(KHz)無線電頻率動 力3放電’形成經活化的氣體混合物。使用磁性核心4以增 強相關於電容性偶合之電導性偶合,氧由Airgas製造,具 99.99%純度。氟化碳為Zyron® 8020,由DuPont製造,且最 102526.doc -11 - 1284929 少99.9體積。/〇的八氟環丁烷。在實例中的氮源由Airgas製 造’具4.8等級,並且氬由Airgas製造,具5.0等級。然後經 活化的氣體通過藉由冷卻水5冷卻之鋁熱交換器,減少鋁製 程室的熱負載。經表面沉積物覆蓋之晶圓7被置於在製程室 6中的溫度控制架8上。中和溫度以光學放射光譜儀(〇ES) 測量,其中如:像是C2及N2之二原子物種的振轉轉移帶, 理論上適於產生中和溫度。也見B. Bai及H. Sawin,JournalA preferred embodiment of the present invention is a method of removing surface deposits from the inner surface of a process chamber for fabricating an electronic device, the method comprising: (4) activating in a remote chamber - an oxygen-containing, perfluorocarbon a compound and a nitrogen source, wherein the oxygen-containing and perfluorocarbon-containing compound has a molar ratio of at least 丨:3, using sufficient power for a sufficient period of time to bring the gas mixture to at least about 3, and the neutralization temperature of hydrazine is Forming an activated gas mixture; and thereafter contacting the activated gas mixture with the surface of the surface deposition chamber, and thereby removing at least some of the surface deposit. It has also been found that in the present invention 'nitrogen can greatly increase the rate. In a specific implementation of the present invention, the over-gasified carbon compound is a popular gas ring (Zyron® 8020) manufactured by DuPont. As evidenced by the examples shown below, the nitrogen-free Zyron® 8020 produces a low etch rate and high c〇h release. The surname rate begins to saturate when a small amount of nitrogen is added to the nitrogen to add more than a certain amount (see Figures 2 and 3). Nitrogen addition also increased power consumption and reduced COF2 release (see Figures 2 and 4). 102526.doc -10- 1284929 It has also been found that under the similar conditions of the present invention, the depletion of the a-carbon compound and the deposition of the polymer can be overcome. In the only test of this month, no significant polymer deposits were found on the inner surface of the chamber. Global greenhouse gas emissions are also very low, as shown in Figure 5. The gamma soil is present. Some pre-treatments from the internal surface of the passage to the surface deposit increase the rate. In the current day and month, the pretreatment can activate the gas mixture before activating the nitrogen source, and the treatment gas is passed through the channel before the (iv) treatment. In a specific embodiment described in Example 4, the passage from the distal chamber to the surface deposit was pretreated with a mixture of activated nitrogen and argon gases for 3 seconds. After pre-processing, the rate of money engraving begins at a high level. In addition, the system is also used to modify the surface placed in the remote chamber by contact with fluorine atoms and other components from the source. The following examples are intended to illustrate the invention and are not intended to be limiting. EXAMPLES Figure 1 shows a flow chart of the source and apparatus for measuring the etch rate, plasma neutralization temperature, and discharge emissions. The remote plasma source is a commercial toroidal MKS ASTRON® ex reactive gas generator unit manufactured by MKS Instmments, Andover, MA, USA. Feed gas 2 (eg, oxygen, fluorinated carbon, argon) is introduced into the remote plasma source 从 from the left and is discharged through a toroidal discharge, where it is discharged at a 400 kHz (KHz) radio frequency. Activated gas mixture. Magnetic core 4 was used to enhance the electrically conductive coupling associated with capacitive coupling, and oxygen was manufactured by Airgas with 99.99% purity. The fluorinated carbon is Zyron® 8020, manufactured by DuPont and is 99.9 vol. /〇 octafluorocyclobutane. The nitrogen source in the example was manufactured by Airgas' grade 4.8 and the argon was manufactured by Airgas with a rating of 5.0. The activated gas is then passed through an aluminum heat exchanger cooled by cooling water 5 to reduce the thermal load on the aluminum process chamber. The wafer 7 covered by the surface deposit is placed on the temperature control frame 8 in the process chamber 6. The neutralization temperature is measured by an optical emission spectrometer (〇ES), where, for example, a vibrating transfer band of a diatomic species such as C2 and N2 is theoretically suitable for generating a neutralization temperature. See also B. Bai and H. Sawin, Journal

of Vacuum Science & Technology A 22(5),2014(2004),在此 併為參考。以經活化氣體之表面沉積物的蝕刻速率,以干 涉測定設備在製程室中測量。N2氣體9在泵10的進口處被添 加,以稀釋產物到FTIR測量的適當濃度,並且減少產物在 泵1 〇中的擱置。FTIR被用來測量在泵出口處的物種濃度。 實例1 〇2、Zyron® 8020(C4F8)、Ar、N2組成的進料氣體,其中 〇2流速為每分鐘1542標準毫升、Ar流速為每分鐘2333標準 H 宅升、C4p8流速分別為每分鐘125標準毫升、n2速為每分鐘 〇、200、400、600標準毫升。室壓為2托。進料氣體以4〇〇 千赫茲RF動力活化成高於5000 K的中和溫度。然後經活化 氣體進入製程室,並且蝕刻在架上的Si〇2表面沉積物,溫 度控制在200°C。結果顯示於圖2中。 實例2 02、Zyron® 8020(C4F8)、Ar、乂組成的起初進料氣體, 其中〇2流速為每分鐘1750標準毫升、Ar流速為每分鐘2〇〇〇 標準毫升、C4F8流速分別為每分鐘25〇標準毫升、N2速為每 102526.doc -12- 1284929 分鐘Ο、100、200、3 00、400、500、600標準毫升。室壓為 2托。進料氣體以400千赫茲RJ?動力活化成5500 Κ的中和溫 度。然後經活化氣體進入製程室,並且蝕刻在架上的Si〇2 表面沉積物,溫度控制在200°C。結果顯示於圖3中。在此 實例中’在泵排出物中的COL濃度以FTIR監測,並且顯示 於圖4中。 〇2、Zyron® 8020(C4F8)、Ar組成的進料氣體,其中〇2流 速為每分鐘Ϊ750標準毫升、Ar流速為每分鐘2〇〇〇標準^ 升、C4FS流速分別為每分鐘250標準毫升。製程室的壓力為 2托。有Si〇2表®沉積物在其上的架±,被控制在1〇代。 C4F8 CO、co2、C2F6、C3F8、CF4、COF2、N20、NF3及Of Vacuum Science & Technology A 22(5), 2014 (2004), incorporated herein by reference. The measurement is carried out in the process chamber by means of an interference measuring device at an etch rate of the surface deposit of the activated gas. N2 gas 9 is added at the inlet of pump 10 to dilute the product to the appropriate concentration measured by FTIR and to reduce the shelf life of the product in pump 1 . FTIR is used to measure the concentration of species at the pump outlet. Example 1 〇2, Zyron® 8020 (C4F8), Ar, N2 consisting of feed gas, wherein 〇2 flow rate is 1542 standard liter per minute, Ar flow rate is 2333 standard H per minute, and C4p8 flow rate is 125 per minute. Standard milliliters, n2 speeds are 〇, 200, 400, 600 standard milliliters per minute. The chamber pressure is 2 Torr. The feed gas is activated at 4 kHz RF power to a neutralization temperature above 5000 K. The activated gas then enters the process chamber and etches the Si〇2 surface deposits on the shelf at a temperature of 200 °C. The results are shown in Figure 2. Example 2 02, Zyron® 8020 (C4F8), Ar, 乂 composition of the initial feed gas, where 〇2 flow rate is 1750 standard milliliters per minute, Ar flow rate is 2 〇〇〇 standard milliliters per minute, C4F8 flow rate is per minute 25 〇 standard liter, N2 speed is 102 526.doc -12-1284929 minutes Ο, 100, 200, 3 00, 400, 500, 600 standard milliliters. The chamber pressure is 2 Torr. The feed gas is activated at 400 kHz RJ® to a neutralization temperature of 5,500 Torr. The activated gas then enters the process chamber and etches the Si〇2 surface deposits on the shelf at a temperature of 200 °C. The results are shown in Figure 3. The COL concentration in the pump effluent in this example was monitored by FTIR and is shown in Figure 4. 〇2, Zyron® 8020 (C4F8), Ar consists of a feed gas, where 〇2 flow rate is Ϊ750 standard milliliters per minute, Ar flow rate is 2〇〇〇 standard liter per minute, and C4FS flow rate is 250 standard milliliters per minute. . The process chamber pressure is 2 Torr. There is a shelf on which Si〇2 Table® deposits are ±, controlled in 1〇 generation. C4F8 CO, co2, C2F6, C3F8, CF4, COF2, N20, NF3 and

SiF4的排出氣體以FTIR監測,並且顯示於圖5中。電漿在乃〇 秒的時間以400 KHz RF動力引發,並且中和溫度升高到 5500 K。在開始時無N2添加,並且餘刻速率低(見圖6),並 且c〇F2排出量高並且c〇2排出量低。在㈣秒時,每分鐘⑽ 標準宅升的N2被添加到進料氣體。結果是:餘刻速率跳高, C:排出量降低,並且叫排出量立刻增加。在工秒時, Ν2^被停止。蝕刻速率、COF2排出量 地_先前的份量。每分鐘2。。:== 秒時開始被添加,並且在278G Μ在2100 重覆。在y nn4l + 、兮止。相同種類的改變 =在31〇〇秒時,C4F8流停止5秒。在姓刻速率、⑽ 及co2排出量下降時,系統回 ^ 2 ’ 並且繼續該轉移。動力在 謂秒時關閉。從圖5,可 … 動力在 、/ 、疋·添加每分鐘200標準 102526.doc 1284929 毫升的A會增加蝕刻速率到相同的份量,如添加每分鐘1〇〇 標準毫升的A所為。然而,觀察到:在前兩個微米之表面 沉積物被蝕刻掉之後,蝕刻速率稍微減少,大致是由於薄 膜粗糙度的改變。 實例4 前處理氣體混合物是由每分鐘100標準毫升的n2及每分 鐘2000標準毫升的Ar組成。其以4〇〇〖Hz RF動力活化,並 且中和溫度約為2000 K。在100秒時開始,並且連續3秒, 經活化氣體從遠室通過到在架上有Si〇2表面沉積物的製程 室,溫度控制在l〇(TC。然後每分鐘1750標準毫升〇2及每分 知250標準毫升Zyron® 8020(C4F8)的氣體混合物被添加進 去。清潔氣體混合物以400 KHz RF動力活化,並且中和溫 度約為5500 K。製程室的壓力為2托。有Si〇2表面沉積物在 其上的架上,被控制在 i〇〇〇c。c4F8、c〇、C〇2、c2F6、C3F8、 CF4、C〇F2、N20、NF3及SiF4的排出氣體以FTIR監測,並 % 且顯示於圖7af。在前處理之後,蝕刻速率在高程度開始, 士圖7b中所示,並且C0F2排出量低。有含N2的清潔氣體混 a物系統被保持在高鍅刻速率狀態。在約500秒時,n2 被k π办氣體混合物移除,導致餘刻速率緩和地降低,並 且C〇F2排出量緩慢增加在1850秒時,每分鐘1〇〇標準毫升的 N2被添加回清潔氣體混合物中。結果是··蝕刻速率跳高, C〇F2排出量降低,並且c〇2排出量立刻增加。動力在31的 秒時關閉。 【圖式簡單說明】 102526.doc •14- 1284929 圖1用於進行本製程之裝置的流程圖。 圖2 A添加之效應對每分鐘125標準毫升Zyr〇n⑧8〇2〇在 (a)姓刻速率及(b)動力消耗的作圖。 圖3 N2添加之效應對每分鐘25〇標準毫升冗^⑽⑧8〇2〇在 (a)餘刻速率及⑺)動力消耗的作圖。 圖4 A添加之效應對每分鐘25〇標準毫升8〇2〇在 C〇F2釋出的作圖,以FTIR測量。 圖5 %添加之效應對每分鐘25〇標準毫升Zyr〇n@ 8〇2〇在 不同廢氣釋出的作圖,以FTIR測量。 圖6有間歇性%添加之蝕刻速率改變的作圖。 圖7a A前處理對不同廢氣釋出之效應的作圖,以FTIr_ 量0 圖7b A前處理在zyron⑧8020蝕刻速率之效應的作圖。 【主要元件符號說明】 1 遠距電漿來源 2 進料氣體 3 無線電頻率動力 4 磁性核心 5 冷卻水 6 製程室 7 經表面沉積物覆蓋之晶圓 8 溫度控制架 9 n2氣體 10 泵 102526.doc -15-The exhaust gas of SiF4 was monitored by FTIR and is shown in FIG. The plasma was induced at 400 KHz RF power in a time of 〇, and the neutralization temperature was raised to 5500 K. There is no N2 addition at the beginning, and the residual rate is low (see Fig. 6), and the c〇F2 discharge amount is high and the c〇2 discharge amount is low. At (four) seconds, N2 of the standard house lift per minute (10) is added to the feed gas. The result is: the rate of the moment is high, C: the amount of discharge is reduced, and the amount of discharge is immediately increased. At the second, Ν2^ is stopped. Etching rate, COF2 emission amount _ previous part. 2 per minute. . :== At the beginning of the second time, it is added, and at 278G Μ at 2100. In y nn4l + , stop. The same kind of change = At 31 〇〇, the C4F8 stream stops for 5 seconds. When the surname rate, (10), and co2 emissions decrease, the system returns to ^ 2 ' and continues the transfer. The power is turned off when it is second. From Figure 5, the power can be increased by 0.02 liters per minute. 102526.doc 1284929 cc of A will increase the etch rate to the same amount, such as adding 1 〇〇 of standard liters per minute. However, it was observed that after the first two micrometers of surface deposits were etched away, the etch rate was slightly reduced, roughly due to the change in film roughness. Example 4 The pretreatment gas mixture consisted of 100 standard milliliters of n2 per minute and 2000 standard milliliters of Ar per minute. It is activated by 4 〇〇 Hz RF power and has a neutralization temperature of approximately 2000 K. Starting at 100 seconds, and for 3 seconds, the activated gas passes from the far chamber to the process chamber with Si〇2 surface deposits on the rack, and the temperature is controlled at 1 〇 (TC. Then 1750 standard milliliters per minute 及 2 A gas mixture of 250 standard milliliters of Zyron® 8020 (C4F8) was added. The cleaning gas mixture was activated at 400 KHz RF and the neutralization temperature was approximately 5500 K. The pressure in the process chamber was 2 Torr. The surface sediment on which the surface sediment is controlled is controlled by FTIR. The exhaust gases of c4F8, c〇, C〇2, c2F6, C3F8, CF4, C〇F2, N20, NF3 and SiF4 are monitored by FTIR. And % is shown in Figure 7af. After the pre-treatment, the etch rate starts at a high level, as shown in Figure 7b, and the C0F2 emission is low. A clean gas mixed system containing N2 is maintained at a high engraving rate. State. At about 500 seconds, n2 is removed by the k π gas mixture, resulting in a modest reduction in the rate of recurrence, and a slow increase in C〇F2 emissions. At 1850 seconds, 1 〇〇 standard liter of N2 per minute is added. Back into the cleaning gas mixture. The result is · · Etch rate jump, C The F2 discharge is reduced, and the c〇2 discharge is immediately increased. The power is turned off at 31 seconds. [Simplified illustration] 102526.doc • 14-1284929 Figure 1 Flow chart of the device used to carry out the process. The effect of A addition on the plot of 125 standard milliliters per minute Zyr〇n88〇2〇 at (a) surname rate and (b) power consumption. Figure 3 Effect of N2 addition to 25 〇 standard ml per minute (10) 88〇 2〇 Mapping of (a) residual rate and (7) power consumption. Figure 4 is a plot of the effect of A addition on the release of 25 〇 2 每 2 〇 per minute at C 〇 F2, measured by FTIR. Figure 5 shows the effect of the addition of 25 〇 standard milliliters per minute Zyr〇n@ 8〇2〇 on different exhaust gas emissions, measured by FTIR. Figure 6 is a plot of etch rate change with intermittent % addition. Figure 7a is a plot of the effect of A pretreatment on the evolution of different exhaust gases, plotted as the effect of FTIr_quantity 0 Figure 7b A pretreatment on the etch rate of zyron88020. [Main component symbol description] 1 Remote plasma source 2 Feed gas 3 Radio frequency power 4 Magnetic core 5 Cooling water 6 Process chamber 7 Wafer covered by surface deposits 8 Temperature control frame 9 n2 gas 10 Pump 102526.doc -15-

Claims (1)

1284929 十、申請專利範圍: 一種用來移除表面沉積物的方法,該方法包含·· (a) 在遠室中活化一種含氧、敦化碳及氮源之氣體現 合物,其中氧及氟化碳之莫耳比率為至少1: 3,其係$ 用足夠的動力一段足夠時間,使得該氣體混合物達到2 少約3,_ K的中和溫度,以形成經活化之氣體屍合 物;及之後1284929 X. Patent application scope: A method for removing surface deposits, which comprises: (a) activating a gaseous precursor of oxygen, Dunhua carbon and nitrogen sources in a remote chamber, wherein oxygen and fluorine The molar ratio of carbon to carbon is at least 1:3, which is sufficient power for a sufficient period of time to bring the gas mixture to a neutralization temperature of less than about 3, _ K to form an activated gas corpse; And after (b) 將忒經活化之氣體混合物與表面沉積物接觸,並 且因此移除至少一些該表面沉積物。 ★ μ求項1的方法,其中該表面沉積物是從用來製造電 子裝置之沉積室的内面移除。 月求項1的方法,其中該動力是由RF源、DC源或微波 源所產生。 如1求項1的方法,其中該氮源是氮氣、NF3或氧化氮。 月求員1的方法,其中該氟化碳是過氟化碳化合物。 —明求項5的方法,其中該過氟化碳化合物是選自由四 氣甲燒、六螽7、ρ·> L乙烷、八氟丙烷、八氟環丁烷、羰基氟、 過氟四氫囷D南所組成之族群。 如叫求項1的方、、么 / ’ ^、中該氣體混合物進一步包含載體 氣體。 8 ·如5月求項7的方、丰 ^ /套’其中該載體氣體是至少一種氣體選 自由氬及氦所έ 凡厅、、且成之氣體族群。 9 ·如請求項1的古 J万法’其中該遠室中的壓力是在〇〇1托 (t〇rr)及20托之間。 102526.doc ^4929 10·如請求項i的方法,其 摻雜的石夕、氮化石夕、鶴、二:面,儿積物是選自由矽、經 及被稱為低K材料之各# 切、氮氧切、碳化石夕 11如” s 種矽氧化合物所組成之族继 U·如5月求項i的方法,复 取之%群。 從約2 : 1至約20 :丨。4聽碳之莫耳比率為至少 ^一種移除表面沉積物的方 石夕、經摻雜的石夕、鶴、二氣务该表面沉積物是選自由(b) contacting the activated gas mixture with the surface deposit and thereby removing at least some of the surface deposit. The method of claim 1, wherein the surface deposit is removed from an inner surface of a deposition chamber used to fabricate the electronic device. The method of claim 1, wherein the power is generated by an RF source, a DC source, or a microwave source. The method of claim 1, wherein the nitrogen source is nitrogen, NF3 or nitrogen oxide. The method of claim 1, wherein the fluorinated carbon is a perfluorocarbon compound. The method of claim 5, wherein the perfluorocarbon compound is selected from the group consisting of tetramethyl, hexavalent, ρ·> L, octafluoropropane, octafluorocyclobutane, carbonyl fluoride, and perfluoro A group of tetrahydroanthracene D. The gas mixture further comprises a carrier gas, such as the square of the item 1, or /'. 8 · As in May, the square of the item 7 is abundance of the gas. The carrier gas is at least one gas selected from the group consisting of argon and argon. 9. The ancient J-method of claim 1 wherein the pressure in the remote chamber is between 〇〇1 Torr and 20 Torr. 102526.doc ^4929 10 · The method of claim i, which is doped with Shi Xi, nitride rock eve, crane, two: noodles, children's products are selected from the group consisting of 矽, 经, and called low-k materials# Cut, oxynitride, carbon carbide eve 11 such as "s s species of oxo compounds followed by U · as in May to find item i, the recovery of the group. From about 2: 1 to about 20: 丨. 4 listening to the molar ratio of carbon is at least one kind of surface sediment removal, the doped stone, the crane, the second gas, the surface deposit is selected from 材料之各種.氧化合物;— ⑷在遠室中活化—種含氧、氣化碳及氮源之^含: 4^ ^ Μ Μ ^ ^ , 厌汉虱源之乳體混合 其中乳及虱化碳之莫耳 w將該經活化之氣體混合 …3’及之後 且因此移除至少—此”表面沉積物接觸,並 表面沉積物。 1 3 .如請求項12的方法,其中今 t ”哀表面沉積物是從用來製造雷 子裝置之沉積室的内面移除。 仏電 14.如請求項12的方法,其中 虱〆原疋氮氣、NF3或氧化氮〇 ^求項12的方法’其中該氟化碳是過w合物。 16. -種用來移除表面沉積物的方法财法包含: ⑷在逖至中活化一種含氮源之前處理氣體混合物; 及之後 W將該經活化之前處理氣體混合物與從遠室到表面 沉積物之通道的至少一部份内面接觸; (C)在遠室中活化一種含氧及氟化碳之清潔氣體混合 物,其中氧及氟化碳之莫耳比率為至少1:3;及之後 (d)將該經活化之清潔氣體混合物通過該通道; 102526.doc 1284929 沉積物接 (e)將該經活化之清潔氣體混合物與表〗 觸,並且因此移除至少一些該表面沉積物。Various materials. Oxygen compounds; - (4) Activation in the distant chamber - a variety of oxygen, gasification and nitrogen sources: 4^ ^ Μ Μ ^ ^, the body of the sputum is mixed with milk and sputum The molybdenum w mixes the activated gas ... 3' and thereafter and thus removes at least - this "surface deposit contact, and surface deposits. 1 3. The method of claim 12, wherein today t" Surface deposits are removed from the inner face of the deposition chamber used to fabricate the thunder device. The method of claim 12, wherein the method of purifying nitrogen, NF3 or nitric oxide is the method of claim 12 wherein the fluorinated carbon is an over-compound. 16. A method for removing surface deposits comprising: (4) treating a gas mixture prior to activating a nitrogen-containing source in a samarium; and thereafter depositing the treated gas mixture prior to activation from a remote chamber to a surface At least a portion of the passage of the object is in surface contact; (C) activating a mixture of oxygen and fluorinated carbon in the remote chamber, wherein the molar ratio of oxygen to fluorinated carbon is at least 1:3; and thereafter ( d) passing the activated cleaning gas mixture through the passage; 102526.doc 1284929 The deposit (e) touches the activated cleaning gas mixture with the watch and thus removes at least some of the surface deposit. 102526.doc102526.doc
TW094121537A 2004-03-24 2005-06-28 Remote chamber methods for removing surface deposits TWI284929B (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US55622704P 2004-03-24 2004-03-24
US64044404P 2004-12-30 2004-12-30
US64083304P 2004-12-30 2004-12-30

Publications (2)

Publication Number Publication Date
TW200623240A TW200623240A (en) 2006-07-01
TWI284929B true TWI284929B (en) 2007-08-01

Family

ID=34965582

Family Applications (3)

Application Number Title Priority Date Filing Date
TW094121537A TWI284929B (en) 2004-03-24 2005-06-28 Remote chamber methods for removing surface deposits
TW094121536A TWI281715B (en) 2004-03-24 2005-06-28 Remote chamber methods for removing surface deposits
TW094121538A TWI281714B (en) 2004-03-24 2005-06-28 Remote chamber methods for removing surface deposits

Family Applications After (2)

Application Number Title Priority Date Filing Date
TW094121536A TWI281715B (en) 2004-03-24 2005-06-28 Remote chamber methods for removing surface deposits
TW094121538A TWI281714B (en) 2004-03-24 2005-06-28 Remote chamber methods for removing surface deposits

Country Status (6)

Country Link
EP (3) EP1733071A2 (en)
JP (3) JP2007530792A (en)
KR (3) KR20070040748A (en)
BR (3) BRPI0508204A (en)
TW (3) TWI284929B (en)
WO (3) WO2005098086A2 (en)

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0697467A1 (en) * 1994-07-21 1996-02-21 Applied Materials, Inc. Method and apparatus for cleaning a deposition chamber
US7581549B2 (en) * 2004-07-23 2009-09-01 Air Products And Chemicals, Inc. Method for removing carbon-containing residues from a substrate
JP2009503271A (en) * 2005-08-02 2009-01-29 マサチューセッツ インスティテュート オブ テクノロジー CVD / PECVD-remote chamber method using sulfur fluoride to remove surface deposits from inside a plasma chamber
US9034199B2 (en) 2012-02-21 2015-05-19 Applied Materials, Inc. Ceramic article with reduced surface defect density and process for producing a ceramic article
US9212099B2 (en) * 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
WO2014094103A1 (en) * 2012-12-18 2014-06-26 Seastar Chemicals Inc. Process and method for in-situ dry cleaning of thin film deposition reactors and thin film layers
JP6202423B2 (en) * 2013-03-05 2017-09-27 パナソニックIpマネジメント株式会社 Plasma cleaning method and plasma cleaning apparatus
US9850568B2 (en) 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
SG10201906117XA (en) * 2013-12-30 2019-08-27 Chemours Co Fc Llc Chamber cleaning and semiconductor etching gases
JP7367703B2 (en) * 2018-12-25 2023-10-24 株式会社レゾナック Deposit removal method and film formation method
US11854773B2 (en) 2020-03-31 2023-12-26 Applied Materials, Inc. Remote plasma cleaning of chambers for electronics manufacturing systems

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5158644A (en) * 1986-12-19 1992-10-27 Applied Materials, Inc. Reactor chamber self-cleaning process
JP2002280376A (en) * 2001-03-22 2002-09-27 Research Institute Of Innovative Technology For The Earth Method and apparatus of cleaning cvd apparatus

Also Published As

Publication number Publication date
BRPI0508205A (en) 2007-07-17
JP2007530792A (en) 2007-11-01
TW200623281A (en) 2006-07-01
WO2005090638A2 (en) 2005-09-29
WO2005095670A3 (en) 2006-05-04
EP1737998A2 (en) 2007-01-03
TWI281714B (en) 2007-05-21
KR20070040748A (en) 2007-04-17
TWI281715B (en) 2007-05-21
KR20070043697A (en) 2007-04-25
TW200623251A (en) 2006-07-01
EP1733071A2 (en) 2006-12-20
JP2007531289A (en) 2007-11-01
BRPI0508214A (en) 2007-07-17
WO2005095670A2 (en) 2005-10-13
WO2005090638A9 (en) 2006-01-26
WO2005090638A3 (en) 2006-04-13
EP1733072A2 (en) 2006-12-20
JP2007531288A (en) 2007-11-01
BRPI0508204A (en) 2007-07-17
KR20070037434A (en) 2007-04-04
WO2005098086A2 (en) 2005-10-20
WO2005098086A3 (en) 2006-05-04
WO2005090638A8 (en) 2006-11-16
TW200623240A (en) 2006-07-01

Similar Documents

Publication Publication Date Title
TWI284929B (en) Remote chamber methods for removing surface deposits
JP6775569B2 (en) How to operate the semiconductor manufacturing process chamber
US20070207275A1 (en) Enhancement of remote plasma source clean for dielectric films
CN100480170C (en) Method and apparatus for producing F2-containing gas, and method and apparatus for modifying article surface
US20070107750A1 (en) Method of using NF3 for removing surface deposits from the interior of chemical vapor deposition chambers
JP2009503905A (en) Method for removing surface deposits and passivating internal surfaces inside chemical vapor deposition (CVD) chambers
TW200527531A (en) Cleaning CVD chambers following deposition of porogen-containing materials
US20050258137A1 (en) Remote chamber methods for removing surface deposits
CN101238238A (en) Remote cavity method of using sulfur fluoride from CVD/PECVD cavity for removing surface deposits
WO2012124726A1 (en) Etching gas and etching method
JP6480417B2 (en) Hydrofluoroolefin etching gas mixture
TW201002857A (en) Method for cleaning plasma film formation apparatus
JP2008235562A (en) Method for cleaning plasma cvd deposition device
JP2006024709A (en) Method of cleaning chamber

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees