TWI281715B - Remote chamber methods for removing surface deposits - Google Patents

Remote chamber methods for removing surface deposits Download PDF

Info

Publication number
TWI281715B
TWI281715B TW094121536A TW94121536A TWI281715B TW I281715 B TWI281715 B TW I281715B TW 094121536 A TW094121536 A TW 094121536A TW 94121536 A TW94121536 A TW 94121536A TW I281715 B TWI281715 B TW I281715B
Authority
TW
Taiwan
Prior art keywords
gas mixture
fluorocarbon
oxygen
chamber
activated
Prior art date
Application number
TW094121536A
Other languages
Chinese (zh)
Other versions
TW200623281A (en
Inventor
Herbert Harold Sawin
Bo Bai
Original Assignee
Massachusetts Inst Technology
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Massachusetts Inst Technology filed Critical Massachusetts Inst Technology
Publication of TW200623281A publication Critical patent/TW200623281A/en
Application granted granted Critical
Publication of TWI281715B publication Critical patent/TWI281715B/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0035Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F4/00Processes for removing metallic material from surfaces, not provided for in group C23F1/00 or C23F3/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02CCAPTURE, STORAGE, SEQUESTRATION OR DISPOSAL OF GREENHOUSE GASES [GHG]
    • Y02C20/00Capture or disposal of greenhouse gases
    • Y02C20/30Capture or disposal of greenhouse gases of perfluorocarbons [PFC], hydrofluorocarbons [HFC] or sulfur hexafluoride [SF6]

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Health & Medical Sciences (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Computer Hardware Design (AREA)
  • Analytical Chemistry (AREA)
  • Optics & Photonics (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Cleaning In General (AREA)
  • ing And Chemical Polishing (AREA)

Abstract

The present invention relates to an improved remote plasma cleaning method for removing surface deposits from a surface, such as the interior of a deposition chamber that is used in fabricating electronic devices. The improvement involves a fluorocarbon rich plasma pretreatment of interior surface of the pathway from the remote chamber to the surface deposits.

Description

1281715 九、發明說明: 【發明所屬之技術領域] 本發明係關於一種藉由使用對包含氧及氟碳化合物之氣 體混合物進行遠端活化所建置的活化氣體來移除表面沉積 物的方法。更具體言之,本發明涉及對自該遠室至該等表 面儿積物之路徑内部表面進行的富含氟碳化合物電裝預處 理0 【先前技術】 • 用於生產原子氟之遠端電漿源在半導體加工業中廣泛地 用於腔室清洗’特定言之用於清洗化學氣相沉積(CVD)及電 漿增強化學氣相沉積(PECVD)所用之腔室。使用遠端電漿 源避免了某些藉由在該PECVD室内建置一電漿放電來執行 清洗時在該就地腔室清洗中發生的對該内部腔室材料之腐 钱。儘管為了該等類型應用而已開發出電容耦合RF (射頻) 及電感耦合RF以及微波遠端源,但該行業迅速轉向變壓耦 合式電感耦合源,其中該電漿具有一環形組態且充當變壓 •器之次級線圈。較低頻率之RF動力的使用允許使用磁芯, 該磁芯使得電感耦合以相對於電容耦合之方式增強;進而 允許更有效地轉移能量至該電漿,而不會發生限制該遠端 電漿源腔室内部之壽命的過度離子轟擊。 半導體工業由於種種原因已不再使用氟碳化合物與氧之 混令物清洗腔室,該等混合氣體起初為用於就地腔室清洗 之主要氣體。首先,自該等製程排放之全球暖化氣體通常 遠遠高於三氟化氮(ΝΑ)製程。NF3在放電中更易解離且不 102527.doc 1281715 藉由產品物質之重新組合而顯著地形成。因此,可更容易 地達成低程度之全球暖化排放。反之,氟碳化合物在放電 中更難分解並經重新組合形成諸如四氟甲烷(CF4)之比其 它氟碳化合物更難分解的物質。 其次’通常發現氟碳化合物放電產生需要更頻繁地濕式 /月洗以移除忒專反覆乾洗後堆積之沉積物的”聚合物”沉積 物。氟碳化合物清洗沉積"聚合物”更傾向於在清洗過程中 未有離子轟擊發生之遠端清洗中發生。該等觀察結果阻止 忒行業發展基於鼠礙化合物原料氣之工業製程。事實上, 該PECVD設備製造者測試了基於氟碳化合物放電之遠端清 洗,但由於在該處理腔室中之聚合物沉積物至今仍未成功。 然而,若可解決上述該兩個缺點,則氟碳氣體因其低成 本及低毒性而為合意的。 仏官先則已進行關於添加氮之全氟碳/氧放電之工作以 加強該氮化矽之蝕刻。該加強被視為由該放電形成1^〇之結 果,該NO又與在氮化矽表面上之1^進行反應,其後以原子 有效氟化以形成揮發性產物。CH· 〇h等人Surface1281715 IX. DESCRIPTION OF THE INVENTION: TECHNICAL FIELD OF THE INVENTION The present invention relates to a method for removing surface deposits by using an activation gas built for remote activation of a gas mixture comprising oxygen and a fluorocarbon. More specifically, the present invention relates to a fluorocarbon-rich compound pretreatment of the inner surface of the path from the remote chamber to the surface of the surface. [Prior Art] • Remote power for the production of atomic fluorine Slurry sources are widely used in the semiconductor processing industry for chamber cleaning, specifically chambers for cleaning chemical vapor deposition (CVD) and plasma enhanced chemical vapor deposition (PECVD). The use of a remote plasma source avoids some of the rot of the internal chamber material that occurs during the cleaning of the in-situ chamber by performing a plasma discharge in the PECVD chamber. Although capacitively coupled RF (radio frequency) and inductively coupled RF and microwave remote sources have been developed for these types of applications, the industry is rapidly turning to a variable voltage coupled inductive coupling source, where the plasma has a ring configuration and acts as a transformer The secondary coil of the pressure device. The use of lower frequency RF power allows the use of a magnetic core that allows inductive coupling to be enhanced relative to capacitive coupling; thereby allowing more efficient transfer of energy to the plasma without limiting the far end plasma Excessive ion bombardment of the life of the interior of the source chamber. The semiconductor industry no longer uses a mixture of fluorocarbons and oxygen to clean the chamber for a variety of reasons, which were originally the primary gases used for in-situ chamber cleaning. First, the global warming gas emitted from these processes is usually much higher than the nitrogen trifluoride (ΝΑ) process. NF3 is more readily dissociated during discharge and is not significantly formed by recombination of product materials. As a result, a low level of global warming emissions can be achieved more easily. On the other hand, fluorocarbons are more difficult to decompose in discharge and are recombined to form substances such as tetrafluoromethane (CF4) which are more difficult to decompose than other fluorocarbons. Secondly, it has been found that fluorocarbon discharges produce "polymer" deposits that require more frequent wet/month washes to remove deposits that are deposited after repeated dry cleaning. Fluorocarbon cleaning deposits "polymers are more likely to occur in remote cleaning where no ion bombardment occurs during the cleaning process. These observations prevent the industry from developing an industrial process based on rodent compound feedstock gas. In fact, The PECVD equipment manufacturer tested remote cleaning based on fluorocarbon discharges, but polymer deposits in the processing chamber have not been successful to date. However, if these two disadvantages are addressed, then fluorocarbon gases It is desirable because of its low cost and low toxicity. Eunuch has already carried out work on the addition of nitrogen perfluorocarbon/oxygen discharge to enhance the etching of the tantalum nitride. This reinforcement is considered to be formed by the discharge. As a result of the enthalpy, the NO reacts with the lanthanum on the surface of the tantalum nitride, and is then effectively fluorinated with atoms to form a volatile product. CH· 〇h et al. Surface

Coatings Technology 171 (2003) 267。 【發明内容】 本說明係關於—種用於移除表面沉積物之方法,該方法 包合.⑷在-遂室中活化—包含氟碳化合物及視情況的氧 之預處理氣體混合物,#中該氧與氣碳化合物之莫耳比小 於1:1;及其後⑻使該經活化預處理的氣體混合物與自該遠 室至該等表面沉積物之路徑的内部*面之至少一部分接 102527.doc 1281715 觸;(C)在該遠室中活化一包含氧及氟碳化合物(其中該氧與 氟碳化合物之莫耳比為至少1:3)之清洗氣體混合物;及其後 (d)使該經活化之清洗氣體混合物通過該路徑;(e)使該經活 化之清洗氣體混合物與該等表面沉積物接觸並藉此移除至 少某些該等表面沉積物。 【實施方式】 在本發明中經移除之表面沉積物包含彼等通常藉由化學 氣相沉積或電漿增強化學氣相沉積或類似製程沉積之材 料。該等材料包括矽、摻雜矽、氮化矽、鎢、二氧化矽、 氮氧化矽、碳化矽及各種稱為低K材料之矽氧化合物,諸如 FSG(氟矽酸鹽玻璃)及SiCOH或包括Black Diamond (Applied Materials)、Coral(Novellus Systems)及 Aurora(ASM International)之PECVD OSG 0 本發明之一實施例為自製造電子裝置中所用之處理腔室 的内部移除表面沉積物。該處理腔室可為一化學氣相沉積 (CVD)腔室或一電漿增強化學氣相沉積(PEC VD)腔室。 本發明之製程涉及一使用足夠動力以形成一經活化之氣 體混合物的活化步驟。活化可藉由任何允許達到解離該原 料氣之大部分的方法(諸如RF能量、DC能量、雷射照明及 微波能量)而達成。所得之電漿的中性溫度取決於動力及在 該遠室中氣體混合物之滞留時間。在特定動力輸入及條件 下,中性溫度將隨著滯留時間變長而升高。此處,經活化 之清洗氣體混合物的較佳中性溫度為約3,000 K以上。在適 當條件下(考慮動力、氣體組成、氣體壓力及氣體滯留時 102527.doc 1281715 間)’例如使用八氟環丁烧’中性溫度可達到至少約_〇匕。 該經活化之氣體形成於處理腔室外部之-遠室中,但緊 鄰㈣理腔室。該遠室係藉由任何允許將該經活化之氣體 自該遠室轉移至該處理腔室的構件連接至該處理腔室。該 遠室及用於將該遠室與該處理腔室連接之構件由在該領域 中已知能夠包含經活化之氣體混合物之材料構成。舉例而 言’鋁及不銹鋼常用於腔室組件。有時在内部表面塗覆 A12 03以降低該表面再結合。 -經活化以處理該路徑之内部表面的預處理氣體混合物 包含氟碳化合物及視情況的氧,纟中―活化清洗氣體通過 忒路在以到達该處理腔室。一較佳預處理氣體混合物具有 小於1:1之氧對氟碳化合物的莫耳比。—更佳之預處理氣體 混合物不包含氧。 一經活化以移除該表面沉積物之清洗氣體混合物包含氧 及氟碳化合物。一較佳清洗氣體混合物具有至少1:3之氧對 氟石反化合物的莫耳比。一更佳之清洗氣體混合物具有至少 自約2:1至約20:1之氧對氟碳化合物的莫耳比。 本發明之氣碳化合物在本文中係指包含C及ρ之化合物。 在本發明中較佳之氟碳化合物係為全氟碳化合物。本發明 中之全氟碳化合物在本文中係指包含c、?及視情況的氧之 化合物。該等全氟碳化合物包含,但不限於四氟甲烷、六 氟乙烷、八氟丙烷、六氟環丙烷、十氟丁烷、八氟環丁烷、 罗厌基鼠化物及八氟四氫D夫喃。 該經活化以形成預處理氣體混合物或清洗氣體混合物之 102527.doc 1281715 氣體混合物可進一步包含諸如氬及氦之載氣。Coatings Technology 171 (2003) 267. SUMMARY OF THE INVENTION This description relates to a method for removing surface deposits, which comprises inclusion. (4) activation in a - chamber - a pretreatment gas mixture comprising fluorocarbons and optionally oxygen, #中中The molar ratio of the oxygen to the carbonaceous compound is less than 1:1; and thereafter (8) contacting the activated pretreated gas mixture with at least a portion of the inner surface of the path from the remote chamber to the surface deposits 102527 .doc 1281715; (C) activating a cleaning gas mixture comprising oxygen and a fluorocarbon (wherein the molar ratio of oxygen to fluorocarbon is at least 1:3) in the remote chamber; and thereafter (d) Passing the activated purge gas mixture through the path; (e) contacting the activated purge gas mixture with the surface deposits and thereby removing at least some of the surface deposits. [Embodiment] The surface deposits removed in the present invention comprise materials which are usually deposited by chemical vapor deposition or plasma enhanced chemical vapor deposition or the like. Such materials include tantalum, doped tantalum, tantalum nitride, tungsten, hafnium oxide, niobium oxynitride, tantalum carbide, and various niobium compounds known as low-k materials such as FSG (fluorosilicate glass) and SiCOH or PECVD OSG 0 including Black Diamond (Applied Materials), Coral (Novellus Systems), and Aurora (ASM International) One embodiment of the present invention removes surface deposits from the interior of a processing chamber used in the fabrication of electronic devices. The processing chamber can be a chemical vapor deposition (CVD) chamber or a plasma enhanced chemical vapor deposition (PEC VD) chamber. The process of the present invention involves an activation step that uses sufficient power to form an activated gas mixture. Activation can be achieved by any means that allows for the dissociation of most of the raw gas, such as RF energy, DC energy, laser illumination, and microwave energy. The neutral temperature of the resulting plasma depends on the power and residence time of the gas mixture in the remote chamber. Under certain power inputs and conditions, the neutral temperature will increase as the residence time becomes longer. Here, the preferred neutral temperature of the activated purge gas mixture is about 3,000 K or more. Under appropriate conditions (between power, gas composition, gas pressure and gas holdup, 102527.doc 1281715), for example, octafluorocyclobutane can be used to achieve a neutral temperature of at least about 〇匕. The activated gas is formed in the -away chamber outside the processing chamber, but adjacent to the (four) chamber. The remote chamber is connected to the processing chamber by any means that allows the activated gas to be transferred from the remote chamber to the processing chamber. The remote chamber and the means for joining the remote chamber to the processing chamber are constructed of materials known in the art to be capable of containing an activated gas mixture. For example, aluminum and stainless steel are commonly used in chamber components. A12 03 is sometimes applied to the inner surface to reduce recombination of the surface. - The pretreatment gas mixture activated to treat the internal surface of the path comprises fluorocarbons and optionally oxygen, and the "activated purge gas" is passed through the circuit to reach the processing chamber. A preferred pretreatment gas mixture has a molar ratio of oxygen to fluorocarbon of less than 1:1. - Better pretreatment gas The mixture does not contain oxygen. The purge gas mixture, once activated to remove the surface deposits, contains oxygen and fluorocarbons. A preferred cleaning gas mixture has a molar ratio of oxygen to fluorspar anti-compound of at least 1:3. A preferred cleaning gas mixture has a molar ratio of oxygen to fluorocarbon of at least from about 2:1 to about 20:1. The gas carbon compound of the present invention herein means a compound containing C and ρ. Preferred fluorocarbon compounds in the present invention are perfluorocarbon compounds. The perfluorocarbon compound in the present invention is used herein to mean c, ? And oxygen compounds as appropriate. Such perfluorocarbons include, but are not limited to, tetrafluoromethane, hexafluoroethane, octafluoropropane, hexafluorocyclopropane, decafluorobutane, octafluorocyclobutane, rotenyl azo compound, and octafluorotetrahydrogen. D Furan. The 102527.doc 1281715 gas mixture that is activated to form a pretreatment gas mixture or purge gas mixture may further comprise a carrier gas such as argon and helium.

本發明之一較佳實施例為一種用於自製造電子裝置中使 用之處理腔室的内部移除表面沉積物的方法,該方法包 含:(a)在一遠室中活化一包含全氟碳化合物且無氡的預處 理氣體混合物;(b)使該經活化預處理氣體混合物與自該遠 至至該等表面沉積物之路徑的内部表面之至少一部分接 觸,(c)在該遠室中,在充足時間内使用充足之動力活化一 包含氧及全氟碳化合物(其中氧與全氟碳化合物之莫耳比 為至少1:3)之清洗氣體混合物,使得該氣體混合物達到一至 少約3,_K之中性溫度,以形成—經活化之清洗氣體混合 物;及其後(d)使該經活化清洗氣體混合物與該沉積室之内 部接觸並藉此移除至少某些該等表面沉積物。 已毛現對自该遠室至該等表面沉積物之路徑的内部表 面進行之富含氟碳化合物電漿預處理可加快餘刻速率。藉 由"富含氣碳化合物電襞",其意謂活化包含敦碳化合物: 視炀況的乳(其中氧與氟碳化合物之莫耳比小於約叫之笮 氣體混合物以形成-電漿。在本發明之—實施例中,如實z 例1中所描述,在該清洗氣體混合物係、由〇2 κ C3 18N4(C4F8)及氬㈤組成時’迅速關閉及開放氧閥數秒可 加快㈣速率。在本發明之另—實施例中,如實例技 = 斤描述,—由氣碳化合物及氬組成之預處理氣體混合物 被活化並通過熱交換器,該熱交換器為自該遠 面沉積物之路控的-部分。此處理亦可加快敍刻速率。 亦發現在本發明之類似條件下,可克服該全氟碳化合物 102527.doc -】0· 1281715 對應於該在排放氣體中(:叫及^濃度變化趨於穩定。在⑽ 秒時關閉該RF動力。 實例2 ▲此試驗係經設計用以量測富含氣碳化合物電襞處理對於 該裝置之内部表面的效果。根據如下描述之條件,該姓刻 速率在該富含氟碳化合物電漿處理前量測為9 〇 〇埃/分鐘 (AngStrom/min)。該原料氣由 A、Zyr〇n<s 8〇2〇(C4D及氬組 成,其中〇2流動速率為1750 sccm,氬流動速率為2〇〇〇 sccm, C4FS流動速率為250 sccm。腔室壓力為2托(t〇rr)。該原料氣 藉由400 KHz 8.8 KW RF動力活化至一估計為5〇〇〇 κ之中性 溫度。該經活化之氣體接著通過該熱交換器連接,進入該 處理腔室並在控制於1〇〇。13之溫度下蝕刻該座架上之si〇2 表面沉積物。 然後’由§含氟碳化合物電漿來處理位於該遠端電漿源 與该處理腔室之間的熱交換器連接。用於該處理之原料氣 混合物係由250 seem Zyron⑧8020及2000 seem氬組成。藉由 400 KHz 7.0 KWRF動力活化後,該氣體混合物通過該熱交 換器達2分鐘。 此處理後,在與該處理前之相同條件下再次量測該蝕刻 速率。發現該#刻速率為1350埃/分鐘(八叫81:1>〇111/;〇1丨11),較 之處理前之速率高30%。 實例3 此試驗係經設計以量測富含氟碳化合物電浆處理對於該 裝置之内部表面的效果。根據如下描述之條件,該蝕刻速 I02527.doc 1281715 4 磁性核心 5 冷卻水 6 處理室 7 晶圓 8 溫度控制座架 9 氣體 10 泵 102527.doc - 15 -A preferred embodiment of the present invention is a method for internally removing surface deposits from a processing chamber used in the manufacture of electronic devices, the method comprising: (a) activating a perfluorocarbon in a remote chamber a compound and a flawless pretreatment gas mixture; (b) contacting the activated pretreatment gas mixture with at least a portion of the interior surface from the path to the surface deposits, (c) in the remote chamber a sufficient amount of time to activate a cleaning gas mixture comprising oxygen and perfluorocarbons (wherein the molar ratio of oxygen to perfluorocarbon is at least 1:3) such that the gas mixture reaches at least about 3 And _K neutral temperature to form an activated cleaning gas mixture; and thereafter (d) contacting the activated cleaning gas mixture with the interior of the deposition chamber and thereby removing at least some of the surface deposits. The fluorocarbon-rich plasma pretreatment of the internal surface of the path from the remote chamber to the surface deposits has accelerated the residual rate. By "carbon-rich compound electrophoresis", it means to activate the containing carbon compound: depending on the condition of the milk (where the molar ratio of oxygen to fluorocarbon is less than about the gas mixture of about 以 to form - electricity In the embodiment of the present invention, as described in Example 1, when the cleaning gas mixture is composed of 〇2 κ C3 18N4 (C4F8) and argon (f), the rapid closing and opening of the oxygen valve for several seconds can be accelerated. (d) rate. In another embodiment of the invention, as described in the example, the pretreatment gas mixture consisting of a gaseous carbon compound and argon is activated and passed through a heat exchanger from which the heat exchanger is The controlled portion of the deposit. This treatment also speeds up the characterization rate. It has also been found that under the similar conditions of the present invention, the perfluorocarbon compound 102527.doc - 0. 1281715 can be overcome in the exhaust gas. (The concentration of 叫 and ^ tends to be stable. The RF power is turned off at (10) seconds. Example 2 ▲ This test was designed to measure the effect of the gas-rich compound electrolysis treatment on the internal surface of the device. The conditions described below, The surname rate was measured to be 9 angstroms/minute (AngStrom/min) before the fluorocarbon-rich plasma treatment. The feed gas consisted of A, Zyr〇n <s 8〇2〇 (C4D and argon, The 〇2 flow rate is 1750 sccm, the argon flow rate is 2 〇〇〇sccm, and the C4FS flow rate is 250 sccm. The chamber pressure is 2 Torr (t〇rr). The feed gas is activated by 400 KHz 8.8 KW RF power. Up to an estimated 5 〇〇〇 neutral temperature. The activated gas is then connected through the heat exchanger, into the processing chamber and etched on the mount at a temperature controlled to 13. Si〇2 surface deposits. Then 'the fluorocarbon compound plasma is used to treat the heat exchanger connection between the remote plasma source and the processing chamber. The feed gas mixture used for this treatment is 250 Seem Zyron 88020 and 2000 seem argon. The gas mixture was passed through the heat exchanger for 2 minutes after activation by 400 KHz 7.0 KWRF. After this treatment, the etch rate was again measured under the same conditions as before the treatment. The #刻刻率 was found to be 1350 angstroms/minute (eight calls 81:1). 111/;〇1丨11), 30% higher than the pre-treatment rate. Example 3 This test was designed to measure the effect of fluorocarbon-rich plasma treatment on the internal surface of the device. Condition, the etch rate I02527.doc 1281715 4 Magnetic core 5 Cooling water 6 Processing chamber 7 Wafer 8 Temperature control mount 9 Gas 10 Pump 102527.doc - 15 -

Claims (1)

1281715 十、申請專利範圍: 1 · 一種用於移除表面沉積物之方法,該方法包含: (a) 在一遠室(remote chamber)中活化一包含氣石山化人 物及視情況的氧之預處理氣體混合物,盆中 八甲虱與氟碳化 合物之該莫耳比小於丨:1 ;及隨後 (b) 使得該經活化之預處理氣體混合物與自該遠室至兮 等表面沉積物之路徑之内部表面的至少一部分相接觸· (c) 在該遠室中活化一包含氧及氟碳化合物之清洗氣體 混合物,其中氧與氟碳化合物之該莫耳比為至少^ ;及 隨後 ·, (d) 使得該經活化之清洗氣體混合物通過該路徑; (匀使得該經活化之清洗氣體混合物與該等表面沉積物 相接觸並藉此移除至少某些該等表面沉積物。 2·如請求項丨之方法,其中該預處理氣體混合物不含氧氣。 3·如睛求項丨之方法,其中該等表面沉積物係自一用於製造 電子裝置之沉積室的内部移除。 4·如请求項丨之方法,其中藉由—RF動力源、一動力源 或一微波動力源活化該氣體混合物。 月求項1之方法,其中該經活化之清洗氣體混合物的中 性溫度為至少約3〇〇〇 κ。 月求項1之方法,其中該氟碳化合物為一全氟碳化合 物。 7· 士 π求項丨之方法,其中該氣體混合物進一步包含一載 氣0 102527.doc 1281715 9. 如请求们之方法,其中該表面沉積物 組成之群··矽、摻雜矽、氮化矽、鎢:、一下列各物 化:、碳化石夕及各種稱作似材料之石夕氧化^勿石夕。、氮氧 求員1之方法,其中忒清洗氣體混合物之氧與氟碳化 合物的莫耳比為至少自約2:1至約20:1。1281715 X. Patent Application Range: 1 · A method for removing surface deposits, the method comprising: (a) activating a gas-bearing mountainized person and optionally a pre-oxygen in a remote chamber Treating the gas mixture, the molar ratio of the methotrexate to the fluorocarbon in the pot is less than 丨:1; and subsequently (b) the path of the activated pretreatment gas mixture to surface deposits from the chamber to the crucible At least a portion of the inner surface is in contact with each other (c) activating a cleaning gas mixture comprising oxygen and a fluorocarbon in the remote chamber, wherein the molar ratio of oxygen to fluorocarbon is at least ^; and subsequently, ( d) passing the activated purge gas mixture through the path; (evening the activated purge gas mixture in contact with the surface deposits and thereby removing at least some of the surface deposits.) The method of the present invention, wherein the pretreatment gas mixture does not contain oxygen. 3. The method of claim </ RTI> wherein the surface deposits are internal to a deposition chamber for manufacturing an electronic device 4. The method of claim 1, wherein the gas mixture is activated by an RF power source, a power source, or a microwave power source. The method of claim 1, wherein the neutral temperature of the activated purge gas mixture The method of claim 1, wherein the fluorocarbon is a perfluorocarbon. The method of π π, wherein the gas mixture further comprises a carrier gas 0 102527. Doc 1281715 9. The method of claimants, wherein the surface deposit consists of a group of ·, 矽, 矽, 钨, and :: a physicochemical: carbon stone, and various stones called materials The method of nitrogen oxide, wherein the molar ratio of oxygen to fluorocarbon of the ruthenium purge gas mixture is at least from about 2:1 to about 20:1. 102527.doc102,527.doc
TW094121536A 2004-03-24 2005-06-28 Remote chamber methods for removing surface deposits TWI281715B (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US55622704P 2004-03-24 2004-03-24
US64044404P 2004-12-30 2004-12-30
US64083304P 2004-12-30 2004-12-30

Publications (2)

Publication Number Publication Date
TW200623281A TW200623281A (en) 2006-07-01
TWI281715B true TWI281715B (en) 2007-05-21

Family

ID=34965582

Family Applications (3)

Application Number Title Priority Date Filing Date
TW094121537A TWI284929B (en) 2004-03-24 2005-06-28 Remote chamber methods for removing surface deposits
TW094121536A TWI281715B (en) 2004-03-24 2005-06-28 Remote chamber methods for removing surface deposits
TW094121538A TWI281714B (en) 2004-03-24 2005-06-28 Remote chamber methods for removing surface deposits

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW094121537A TWI284929B (en) 2004-03-24 2005-06-28 Remote chamber methods for removing surface deposits

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW094121538A TWI281714B (en) 2004-03-24 2005-06-28 Remote chamber methods for removing surface deposits

Country Status (6)

Country Link
EP (3) EP1733071A2 (en)
JP (3) JP2007530792A (en)
KR (3) KR20070040748A (en)
BR (3) BRPI0508205A (en)
TW (3) TWI284929B (en)
WO (3) WO2005090638A2 (en)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0697467A1 (en) * 1994-07-21 1996-02-21 Applied Materials, Inc. Method and apparatus for cleaning a deposition chamber
US7581549B2 (en) * 2004-07-23 2009-09-01 Air Products And Chemicals, Inc. Method for removing carbon-containing residues from a substrate
WO2007070116A2 (en) * 2005-08-02 2007-06-21 Massachusetts Institute Of Technology Remote chamber method using sulfur fluoride for removing surface deposits from the interior of a cvd /pecvd- plasma chamber
US9034199B2 (en) 2012-02-21 2015-05-19 Applied Materials, Inc. Ceramic article with reduced surface defect density and process for producing a ceramic article
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
CN104853855B (en) * 2012-12-18 2020-07-24 海星化学有限公司 Process and method for in-situ dry cleaning of thin film deposition reactors and thin film layers
JP6202423B2 (en) * 2013-03-05 2017-09-27 パナソニックIpマネジメント株式会社 Plasma cleaning method and plasma cleaning apparatus
US9850568B2 (en) 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
JP6462699B2 (en) 2013-12-30 2019-01-30 ザ ケマーズ カンパニー エフシー リミテッド ライアビリティ カンパニー Gas for chamber cleaning and semiconductor etching
JP7367703B2 (en) * 2018-12-25 2023-10-24 株式会社レゾナック Deposit removal method and film formation method
US11854773B2 (en) 2020-03-31 2023-12-26 Applied Materials, Inc. Remote plasma cleaning of chambers for electronics manufacturing systems
CN116145106A (en) * 2023-02-21 2023-05-23 苏州鼎芯光电科技有限公司 Cleaning method for semiconductor coating process chamber

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5158644A (en) * 1986-12-19 1992-10-27 Applied Materials, Inc. Reactor chamber self-cleaning process
JP2002280376A (en) * 2001-03-22 2002-09-27 Research Institute Of Innovative Technology For The Earth Method and apparatus of cleaning cvd apparatus

Also Published As

Publication number Publication date
JP2007531289A (en) 2007-11-01
WO2005095670A3 (en) 2006-05-04
TW200623251A (en) 2006-07-01
BRPI0508204A (en) 2007-07-17
EP1733072A2 (en) 2006-12-20
KR20070040748A (en) 2007-04-17
JP2007530792A (en) 2007-11-01
EP1733071A2 (en) 2006-12-20
JP2007531288A (en) 2007-11-01
TW200623281A (en) 2006-07-01
KR20070043697A (en) 2007-04-25
WO2005098086A2 (en) 2005-10-20
WO2005095670A2 (en) 2005-10-13
WO2005098086A3 (en) 2006-05-04
TW200623240A (en) 2006-07-01
WO2005090638A3 (en) 2006-04-13
TWI284929B (en) 2007-08-01
BRPI0508214A (en) 2007-07-17
WO2005090638A9 (en) 2006-01-26
KR20070037434A (en) 2007-04-04
WO2005090638A8 (en) 2006-11-16
TWI281714B (en) 2007-05-21
EP1737998A2 (en) 2007-01-03
BRPI0508205A (en) 2007-07-17
WO2005090638A2 (en) 2005-09-29

Similar Documents

Publication Publication Date Title
TWI281715B (en) Remote chamber methods for removing surface deposits
US20060144820A1 (en) Remote chamber methods for removing surface deposits
CN112981369B (en) Chamber Cleaning and Semiconductor Etching Gases
TWI248126B (en) Cleaning CVD chambers following deposition of porogen-containing materials
US20050258137A1 (en) Remote chamber methods for removing surface deposits
JP2009503270A (en) Use of NF3 to remove surface deposits
JP2009503271A (en) CVD / PECVD-remote chamber method using sulfur fluoride to remove surface deposits from inside a plasma chamber
KR102275996B1 (en) Hydrofluoroolefin etching gas mixtures
US20060144819A1 (en) Remote chamber methods for removing surface deposits

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees