SG10201906117XA - Chamber cleaning and semiconductor etching gases - Google Patents

Chamber cleaning and semiconductor etching gases

Info

Publication number
SG10201906117XA
SG10201906117XA SG10201906117XA SG10201906117XA SG10201906117XA SG 10201906117X A SG10201906117X A SG 10201906117XA SG 10201906117X A SG10201906117X A SG 10201906117XA SG 10201906117X A SG10201906117X A SG 10201906117XA SG 10201906117X A SG10201906117X A SG 10201906117XA
Authority
SG
Singapore
Prior art keywords
chamber cleaning
etching gases
semiconductor etching
semiconductor
etching
Prior art date
Application number
SG10201906117XA
Inventor
Sheng Peng
Gary Loh
Oosaki Yoshimasa
Original Assignee
Chemours Co Fc Llc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Chemours Co Fc Llc filed Critical Chemours Co Fc Llc
Publication of SG10201906117XA publication Critical patent/SG10201906117XA/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • C09K13/04Etching, surface-brightening or pickling compositions containing an inorganic acid
    • C09K13/08Etching, surface-brightening or pickling compositions containing an inorganic acid containing a fluorine compound
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G5/00Cleaning or de-greasing metallic material by other methods; Apparatus for cleaning or de-greasing metallic material with organic solvents
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02CCAPTURE, STORAGE, SEQUESTRATION OR DISPOSAL OF GREENHOUSE GASES [GHG]
    • Y02C20/00Capture or disposal of greenhouse gases
    • Y02C20/30Capture or disposal of greenhouse gases of perfluorocarbons [PFC], hydrofluorocarbons [HFC] or sulfur hexafluoride [SF6]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P70/00Climate change mitigation technologies in the production process for final industrial or consumer products
    • Y02P70/50Manufacturing or production processes characterised by the final manufactured product

Abstract

OF THE DISCLOSURE The present invention relates to fluoroolefin compositions useful as gases for CVD semiconductor manufacture, particularly for etching applications including methods for removing surface deposits from the interior of a chemical vapor deposition chamber by using an activated gas mixture, and methods for etching the surface of a semiconductor. [NO FIGURE]
SG10201906117XA 2013-12-30 2014-12-22 Chamber cleaning and semiconductor etching gases SG10201906117XA (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US201361921594P 2013-12-30 2013-12-30

Publications (1)

Publication Number Publication Date
SG10201906117XA true SG10201906117XA (en) 2019-08-27

Family

ID=52283000

Family Applications (2)

Application Number Title Priority Date Filing Date
SG11201605356PA SG11201605356PA (en) 2013-12-30 2014-12-22 Chamber cleaning and semiconductor etching gases
SG10201906117XA SG10201906117XA (en) 2013-12-30 2014-12-22 Chamber cleaning and semiconductor etching gases

Family Applications Before (1)

Application Number Title Priority Date Filing Date
SG11201605356PA SG11201605356PA (en) 2013-12-30 2014-12-22 Chamber cleaning and semiconductor etching gases

Country Status (8)

Country Link
US (3) US10109496B2 (en)
EP (1) EP3090073B1 (en)
JP (2) JP6462699B2 (en)
KR (2) KR102400322B1 (en)
CN (2) CN112981369B (en)
SG (2) SG11201605356PA (en)
TW (2) TWI703206B (en)
WO (1) WO2015103003A1 (en)

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SG11201605356PA (en) * 2013-12-30 2016-07-28 Chemours Co Fc Llc Chamber cleaning and semiconductor etching gases
JP2016207788A (en) * 2015-04-20 2016-12-08 東京エレクトロン株式会社 Surface treatment method for upper electrode, plasma processing apparatus, and upper electrode
WO2018044713A1 (en) 2016-08-29 2018-03-08 Tokyo Electron Limited Method of quasi-atomic layer etching of silicon nitride
TWI756367B (en) 2017-02-23 2022-03-01 日商東京威力科創股份有限公司 Method of quasi-atomic layer etching of silicon nitride
TWI761461B (en) 2017-02-23 2022-04-21 日商東京威力科創股份有限公司 Method of anisotropic extraction of silicon nitride mandrel for fabrication of self-aligned block structures
CN111418046A (en) 2017-11-30 2020-07-14 朗姆研究公司 Stair-step etch of silicon-nitride-silicon stack
EP3865468A4 (en) * 2018-10-09 2023-01-11 Daikin Industries, Ltd. Process for producing perfluoroalkyne compound
JP7391297B2 (en) * 2019-06-28 2023-12-05 株式会社Flosfia Etching processing method and etching processing equipment
US11854773B2 (en) * 2020-03-31 2023-12-26 Applied Materials, Inc. Remote plasma cleaning of chambers for electronics manufacturing systems
EP4231333A1 (en) 2020-10-15 2023-08-23 Resonac Corporation Etching gas, method for producing same, etching method, and method for producing semiconductor element
WO2022080272A1 (en) 2020-10-15 2022-04-21 昭和電工株式会社 Etching gas, method for producing same, etching method, and method for producing semiconductor element
TWI748741B (en) * 2020-11-11 2021-12-01 暉盛科技股份有限公司 Plasma wafer cleaning machine and method for cleaning wafers using the same
KR102244885B1 (en) * 2021-02-03 2021-04-27 (주)원익머트리얼즈 Etch gas mixture with high selectivity and pattern formation method using the same
KR102582730B1 (en) * 2021-04-07 2023-09-25 (주)후성 Method for manufacturing fluorinated cyclopropane gas and gas composition for etching comprising the same

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09191002A (en) * 1996-01-10 1997-07-22 Sony Corp Plasma etching method
US5824375A (en) 1996-10-24 1998-10-20 Applied Materials, Inc. Decontamination of a plasma reactor using a plasma after a chamber clean
TW428045B (en) 1997-08-20 2001-04-01 Air Liquide Electronics Chemic Plasma cleaning and etching methods using non-global-warming compounds
US6849193B2 (en) * 1999-03-25 2005-02-01 Hoiman Hung Highly selective process for etching oxide over nitride using hexafluorobutadiene
JP4186045B2 (en) * 2000-11-08 2008-11-26 ダイキン工業株式会社 Dry etching gas and dry etching method
AU2002303842A1 (en) * 2001-05-22 2002-12-03 Reflectivity, Inc. A method for making a micromechanical device by removing a sacrificial layer with multiple sequential etchants
JP2003234299A (en) * 2002-02-12 2003-08-22 Research Institute Of Innovative Technology For The Earth Cleaning gas and etching gas
JP3527915B2 (en) 2002-03-27 2004-05-17 株式会社ルネサステクノロジ CVD apparatus and cleaning method of CVD apparatus using the same
JP2005142198A (en) * 2003-11-04 2005-06-02 Taiyo Nippon Sanso Corp Cleaning gas and method
KR20070037434A (en) * 2004-03-24 2007-04-04 매사추세츠 인스티튜트 오브 테크놀로지 Remote chamber methods for removing surface deposits
US20050258137A1 (en) * 2004-03-24 2005-11-24 Sawin Herbert H Remote chamber methods for removing surface deposits
CN101777492A (en) * 2004-11-05 2010-07-14 东京毅力科创株式会社 Plasma processing method
US8187415B2 (en) 2006-04-21 2012-05-29 Applied Materials, Inc. Plasma etch reactor with distribution of etch gases across a wafer surface and a polymer oxidizing gas in an independently fed center gas zone
JP2008244144A (en) 2007-03-27 2008-10-09 Toshiba Corp Manufacturing method of semiconductor device
US8614151B2 (en) * 2008-01-04 2013-12-24 Micron Technology, Inc. Method of etching a high aspect ratio contact
JP2011124239A (en) * 2008-03-31 2011-06-23 Daikin Industries Ltd Dry etching gas and dry etching method using the same
JP5266902B2 (en) * 2008-06-20 2013-08-21 日本ゼオン株式会社 Method for producing fluorine-containing olefin compound
KR20110125263A (en) * 2009-03-06 2011-11-18 솔베이 플루오르 게엠베하 Use of unsaturated hydrofluorocarbons
JP5434970B2 (en) * 2010-07-12 2014-03-05 セントラル硝子株式会社 Dry etchant
JP2013030531A (en) 2011-07-27 2013-02-07 Central Glass Co Ltd Dry etching agent
SG11201605356PA (en) * 2013-12-30 2016-07-28 Chemours Co Fc Llc Chamber cleaning and semiconductor etching gases

Also Published As

Publication number Publication date
TWI650405B (en) 2019-02-11
CN112981369A (en) 2021-06-18
JP2019057737A (en) 2019-04-11
KR20160105407A (en) 2016-09-06
US10109496B2 (en) 2018-10-23
WO2015103003A1 (en) 2015-07-09
US20160343579A1 (en) 2016-11-24
JP6462699B2 (en) 2019-01-30
TWI703206B (en) 2020-09-01
US20180366339A1 (en) 2018-12-20
CN106414798A (en) 2017-02-15
KR20220070062A (en) 2022-05-27
JP6775569B2 (en) 2020-10-28
US20190027375A1 (en) 2019-01-24
KR102476934B1 (en) 2022-12-14
SG11201605356PA (en) 2016-07-28
EP3090073A1 (en) 2016-11-09
TW201920614A (en) 2019-06-01
CN112981369B (en) 2023-11-10
CN106414798B (en) 2021-04-06
JP2017503350A (en) 2017-01-26
TW201534689A (en) 2015-09-16
KR102400322B1 (en) 2022-05-20
EP3090073B1 (en) 2020-02-05

Similar Documents

Publication Publication Date Title
SG10201906117XA (en) Chamber cleaning and semiconductor etching gases
WO2013011327A3 (en) Vapour deposition process for the preparation of a chemical compound
TW201614094A (en) Conditioning remote plasma source for enhanced performance having repeatable etch and deposition rates
EA201891657A1 (en) INTEGRATED PROCESS OF ENZYMENT AND ELECTROLYSIS
MX2013015147A (en) Processes and intermediates for producing azaindoles.
MX344864B (en) Integrated process and methods of producing (e)-1-chloro-3,3,3-tr ifluoropropene.
TW200736412A (en) Method of using NF3 for removing surface deposits from the interior of chemical vapor deposition chambers
WO2011155858A3 (en) Method of graphene manufacturing
EP2761054A4 (en) Deposition of silicon oxide by atmospheric pressure chemical vapor deposition
GB201205801D0 (en) Process
GB201207448D0 (en) Method of depositing silicon dioxide films
MX2015011438A (en) Process for making benzoxazepin compounds.
MY178015A (en) Purification method for off-gas and apparatus for purification of off-gas
TW200623281A (en) Remote chamber methods for removing surface deposits
MY175674A (en) Process for production of polycrystalline silicon
GB201912659D0 (en) Chemical vapor deposition process for producing diamond
FI20115321A0 (en) A method for depositing one or more polycrystalline silicon layers on a substrate
IN2014DN02915A (en)
WO2018089487A8 (en) Removal of moisture from hydrazine
SA515361283B1 (en) Cleaning of chemical vapor deposition (CVD) production spaces
TW200833704A (en) Tantalum and niobium compounds and their use for chemical vapour deposition (CVD)
MY177612A (en) Purification method and purification apparatus for off-gas
TWI800497B (en) Chemical deposition chamber having gas seal
MY170523A (en) Process for depositing polycrystalline silicon
EP2464760A4 (en) High pressure chemical vapor deposition apparatuses, methods, and compositions produced therewith