TWI257438B - Atomic layer deposition methods - Google Patents

Atomic layer deposition methods Download PDF

Info

Publication number
TWI257438B
TWI257438B TW092131525A TW92131525A TWI257438B TW I257438 B TWI257438 B TW I257438B TW 092131525 A TW092131525 A TW 092131525A TW 92131525 A TW92131525 A TW 92131525A TW I257438 B TWI257438 B TW I257438B
Authority
TW
Taiwan
Prior art keywords
precursor gas
chamber
monolayer
precursor
gas
Prior art date
Application number
TW092131525A
Other languages
English (en)
Other versions
TW200424350A (en
Inventor
Trung Tri Doan
Guy T Blalock
Gurtej S Sandhu
Original Assignee
Micron Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Micron Technology Inc filed Critical Micron Technology Inc
Publication of TW200424350A publication Critical patent/TW200424350A/zh
Application granted granted Critical
Publication of TWI257438B publication Critical patent/TWI257438B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/38Borides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/403Oxides of aluminium, magnesium or beryllium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/515Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using pulsed discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3141Deposition using atomic layer deposition techniques [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02183Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing tantalum, e.g. Ta2O5
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31616Deposition of Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/3165Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation
    • H01L21/31683Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of metallic layers, e.g. Al deposited on the body, e.g. formation of multi-layer insulating structures

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Physical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Electrodes Of Semiconductors (AREA)

Description

1257438 玫、發明說明: 【發明所屬之技術領域】 本發明係關於原子層沉積法。 【先前技術】 製造積體電路之半導體加工通常包括將層沉積在半導體 基板上。一種此種方法為原子層沉積(ALD),其包括在沉積 室内將連續單層沉積在基板上,且通常維持於次大氣壓力 下。經由使用一般ALD,連續單原子層可以被基板吸附及/ 或與該基板之外層反應,其步驟通常為連續將不同沉積先 質饋至該基板表面。 ALD方法之實例包括將單一汽化先質饋至沉積室内以在 其内所容納之基板上有效形成第一單層。其後,停止該第 一沉積先質之流動,然後使惰性滌洗氣體流經該室以有效 私除未黏著於該室之基板上之任何殘留第一先質。接著, 使不同於該第一先質之第二蒸氣沉積先質流至該室以在該 第一單層上(及與該第一單層一起)有效形成第二單層。該第 一單層可以與該第一單層反應。另外的先質可形成連續 日 或了重複上述方法直到已在該基板上形成所要厚度及 組合物層為止。 【發明内容】 本發明係關於原子層沉積法。在一項實施方法中,係將 半導體基板定位在原子層沉積室内。在該室内使第一先質 氣體流至該基板以在該基板上有效形成第一單層。於表面 微波電漿條件下,在該室内使組成不同於該第一先質氣體 89223-940826.doc 1257438 之第二先質氣體流至該第一單層以和該第一單層有效反應 並在該基板上形成組成與該第一單層不同之第二單層。該 第二單層包含該第一單層及該第二先質組份。在一項實施 方法中,係連續重複該第一及第二先質之流動以在該具第 二單層組合物之基板上有效形成一堆材質。在一項實施方 法中,該第二先質氣體流過後,在該室内使組成不同於該 第一及第二先質氣體之第三先質氣體流至該第二單層以和 該第二單層有效反應,並在該基板上形成組成不同於該第 一及第二單層之第三單層。在一項實施方法中,該第二先 質氣體流過後,在室内使該第一先質氣體流至該基板以和 該第二單層有效反應,並a)移除該第二單層之組份以在該 基板上形成組成不同於該第一及第二單層之第三組合物單 層;及b)在該第三組合物單層上形成具該第一單層組合物 之第四單層。 本發明涵蓋並揭示對於任何上述内容未必具包容性之其 它方面及具體實施例。 【實施方式】 提供本發明揭示内容以促進瞭解美國專利法lfto promote the progress of science and useful arts”(第 1條,第 8段)之憲 法目的。 圖1表示根據本發明一方面之可用的原子層沉積裝置實 例。此種裝置可以在沉積室内產生表面微波電漿,並於該 室内對於半導體基板進行原子層沉積。就本文件而言,’’表 面微波電漿f’之定義為在正對基板之氣體中,藉由複數個分 89223-940826.doc -7- 1257438 離、間隔之微波發射源發送的能量處 不論是以現有方法或内 生又%漿,且 4尚#進一步研發之方法 、 :驟之-種現有方法為藉由使用天 丁面進行該 線⑽)或徑向線路隙缝天線(rlsa)。僅=平面天 在美國專利第6 399 嗨 貝例說明,可以 + U 6,399,52{^及 6,343,565號中找 廷些專利以引用的方式併入本文中。 μ例’ 裝置!G間解表4含衫半導料 沉積室U。就本文件而言疋“其中< ^ # , 及名% +導體基板”或”半導咖 ^板,,之定義為任何含半導電性材料之結構物,該半導Γ t科包括(但不限於)體半導電性材料,例如,半導電性: 圓(呈單獨或含其它材料於其上 00 政,口时 干裂式),+導電性材料 “早獨或含其它材料之組件型式)。該名詞"基板"係指任 何承載結構’其包括(但不限於)上述半導電性基板。可提供 通合載體或機構(圖中未顯示)以承載圖中之基板,且若必 要’其可受控於溫度’動力及/或另一方面經構形控制以將 基板14定位於沉積室丨2内。 適合的微波產生器16可以活動性地與剛好在沉積室12上 所包含的表面平面天線18連接。一般而言,表面平面天線 18包含一種具有許多於其中形成之微波透射孔2〇之金屬材 料,由彳政波產生斋1 6所產生之微波能源可通過這些抵達沉 和室12内,並接近該基板14之表面。因此,沉積室12之上 器壁(於其上含有表面平面天線18)亦具微波透射性。當然, /儿和12内可具有部份或全邵表面平面天線丨8。該基板丄斗 之上表面與該表面平面天線18之下表面之較佳間隔為65毫 89223-940826.doc I257438 米。當然,可使用更大或較小的間隔。在某些情況下,可 以使用比65毫米小很多之間隔。而且,除了微波外,不論 在况和1 12裡面或外面,能源產生亦涵蓋併用微波能源產 生。 h 以圖解表示用於將先質及/或滌洗氣體發射至沉積室η 内之中間基板14及表面平面天線18之先f及/或務洗氣體 入口22及24實例。以圖解表示用於自沉積室12排出材料之 真空垂伸管線26。該圖1€為構造之圖解及實例,根據本發 明之有組織方面’可使用任何其它適合裝置。例如,本發 明:涵蓋可以使氣體抵達沉積室,並自該室排出材料核 何叉替構型(不論是現有或尚待進一步研發),例如,喷淋 頭’多重通口或其它構件。 、 使半導體基板(例如,基板i做位於原子層沉積室内。於 該沉積室内,使第一先質氣體,經由,例如,入口。及以 二或兩者,流至該基板,在職板上有效形成第_單層。 僅藉由實例說明,並就形成丁it層之實例而言,第一先曰所 氣體之實例包括TiCl4,且,例如,其可單獨或併用惰性二 其它氣體。自此種TiCl4製成之第一單層實例為丁机,例 如,如圖2所述。圖2係說明在原子層沉積法中使用Ticu逐 /人加工又實例。圖2最左邊的圖解部份係描述一種適人之美 板表面30,其具有含71(^黏著於其上第一 口 4 土 由奋加# 可、,、上炙弟早層32。僅藉 i d明,其係呈以自該鈇向外延伸之奢 虱原予或分子與 *板表面30黏著之鈦型式。 一般而言,使用惰性滌洗氣體,或經由 a々法自孩沉 89223-940826.doc 1257438 積室内滌洗任何殘留的第一先質氣體。不管如何,接著, 於表面微波電漿條件下,在該沉積室内使組成不同於第一 先質氣體之第二先質流至該第一單層,與該第一單層有效 反應’並在該基板上形成組成與該第一單層不同之第二單 層,該第二單層包含該第一單層及該第二先質組份。就本 文件而言,'组成不同,,之氣體係意指某些氣體,其具有一 種與其所比較之該氣體不同之其它及/或額外反應性組份。 圖2之中間圖係描述一種含之較佳第二先質氣體實 例,且其於表面微波電漿條件下呈活化態。如該最右邊的 圖解所述,其能有效與第一單層32反應以形成含TiB2之第 二單層34,並產生HC1副產物。第二單層34包含該第一單層 組份(亦即,Ti)及第二先質組份(亦即,b)。 " 連%重複上述第一及第二先質之流動以在該含第二單層 ^合物之基板上有效形纟-堆材料。戶斤製成該物料含有該 第二:層組合物’或本質上由該第二單層組合物組成,: 由忑第一單層組合物組成。例如,與僅使用上述第—及: 二先質氣體在該基板上形成該物料之方法比較,本“= 盖’例如’可藉由導人另外第-及/或第二先質氣體以製: 孩含完全與該第二單層組合物不同之物料。 绝 —上述方法實例之第二單層與第〜單層不同之處在 一種呈元素型式之金屬(亦即,鈦),其中該第二單層含 種導電金屬化合物。此外,在較佳具體實施例中, 所形成該材質物料具導電性。僅藉由實例說明,此種加工 <另一種方法為使用含TaCh之第一杰哲与蝴、, Π工 89223-940826.doc -10- 1257438
TaClx之第一單層。在此種情況下,第二先質氣體實例含有 可形成含TaN之第二單層之NH3。就首先描述的具體實施例 而言,可經由熟悉本技藝者選擇惰性氣體,流率,功率, 溫度,壓力及任何操作參數,並使其最佳化,且就本發明 而言,並沒有特定一項或一組參數較佳。 或者,僅藉由實例說明,可以形成含介電材料之該第二 單層,且又僅藉由實例說明,可製成具絕緣性之該物料。 例如,就形成含Al2〇3之絕緣物料而言,氣體實例包括三甲 基鋁(作為第一先質氣體)與〇3及/或H20(作為第二先質氣 體)。 而且,在任何上述及後續具體實施例中,可以在該沉積 室内使用或未使用電漿進行該第一先質氣體之流動,例 如,在該沉積室内,經由或未經由表面微波電漿之產生, 進行該第一先質氣體之流動。此外,亦可使用遠程電漿產 生以進行該第一先質氣體之流動,而且於該第二先質氣體 流動時,在該沉積室内可結合表面微波電漿條件以進行該 第二先質氣體之流動。 在一項實施方法中,原子層沉積法包括上述通稱的第一 及第二先質氣體之流動。該第二先質氣體流動後,在該沉 積室内使組成不同於該第一及第二先質氣體之第三先質氣 體流至該第二單層與該第二單層有效反應,並在該基板上 形成組成不同於該第一及第二單層之第三單層。可連續重 複該第一,第二及第三先質之流動以在該基板上有效地形 成一堆材料,其含有該第三單層組合物或本質上由該第三 89223-940826.doc -11 - 1257438 單層組合物組成。僅藉由實例說明,根據本實施方法,在 形成含氧化鋁之第三單層時,可參考圖3,進一步描述其加 工實例。 特定言之,該圖3最左邊之例解圖係描述使含三甲基鋁之 第一先質氣體流動以在基板表面30上形成含A1CHX之第一 單層40。於表面微波電漿條件下,在該沉積室内使第二先 質氣體(例如,H2)流至該第一單層,與該第一單層40有效 反應,並在該基板上形成不同組成之第二單層42。例解的 第二單層42含有一種不同於第一單層40(A1)之組份及一種 不同於該第二先質(H)之組份。在該沉積室内使第三先質氣 體(亦即,03及/或H20)流至第二單層42,與該第二單層42 有效反應,並在基板30上形成組成不同於第一單層40及第 二單層42之第三單層44(亦即,A10x)。當然,可重複此種加 工步驟,藉由原子層沉積法沉積所要厚度之含氧化鋁層。 而且,當然,該第一及第三先質氣體之一,兩者(或兩者皆 無)之流動可包括使用遠程及/或沉積室所產生之電漿,且, 例如,包括表面微波電漿條件。 僅藉由實例說明,其中所要產物成品為TiN,另一種第一 先質氣體實例為可形成含TiClx之單層之TiCl4。第二先質氣 體之實例仍然可含有H2,且第三先質氣體之實例含有NH3。 又藉由實例說明,另一種沉積材料為TaN,其可作為該第三 單層。第一先質氣體之實例為可形成含TaClx之第一單層之 TaCl5。第二先質氣體之實例為H2,且第三先質氣體之實例 為 NH3。 89223-940826.doc -12- 1257438 在一項實施方法中,通常係以該第一及第二先質氣體之 流動進行加工。該第二先質氣體流動後,在該沉積室内使 該第一先質氣體流至該基板,與該第二單層有效反應並a) 移該第二單層組份,在該基板上形成組成不同於該第一及 第二單層之第三組合物單層,及b)在該第三組合物單層上 形成含該第一單層組合物之第四單層。僅藉由實例說明, 其加工實例在圖4(元素鈕層之製備)中有更詳細地描述。 該圖4最左邊的例解圖係描述使含TaCl5之第一先質氣體 流動,在基板表面30上形成含TaClx之第一單層60後,所進 行之加工。其接著於表面微波電漿條件下,在該沉積室内 使組成不同於該第一先質氣體之第二先質氣體(亦即,H2) 流至該第一單層,與該第一單層有效反應以在該基板上形 成組成不同於該第一單層之第二單層62。第二單層62包含 該第一單層組份(亦即,Ta)及該第二先質組份(亦即,H)。 接著,在該沉積室内使該第一先質氣體(亦即,TaCl5)流至 該基板,與第二單層62有效反應以a)移除該第二單層組份 (亦即,H)以形成組成不同於第一單層60及第二單層62之第 三組合物單層64(亦即,Ta),及b)在第三組合物單層64上形 成具該第一單層組合物之第四單層66(亦即,TaClx)。可連 續重複上述步騾以在該基板上形成一堆物料,其本質上由 該第三組合物單層組成,或由該第三組合物單層組成。而 且,可以在該沉積室内,使用或未使用電漿進行該第一及 第三先質之流動,例如,可使用或不必使用表面微波電漿。 所描述該較佳圖4加工步驟可形成含一種呈元素型式之 89223-940826.doc -13 - 1257438 :屬之該第三组合物單層。僅藉由實例說明,另—種用以 製:欽層之加工實例可使用含加u之第—先質氣體以形成 该3 TlClx之第一單層。在此種情況下,較佳之第二先質實 例亦含札。 只 、右巧第單層在於孩室内無表面微波電漿之存在,而其 b加工條件皆相同之情 4^ ^ ^ L· ^ h /ru卜係一種實質上不能與該第二 先貝反應之組合物,則本發明具有更特佳之有用性。參考 圖2-4,如上述之第一單層可構成此種組合物。 至少就與於表面微波電漿條件下在室内可形成該第一單 層之該第-先質氣體不同之第二先質氣體之流動而言,各 種上述加工法可提供更佳均勻性,並可使用較低離子能量 以加速原子層沉積,與不能提供較佳均勻性的高離子能量 電漿比較,其具電漿增強性。 如字面上所述,可以以任何方式進行上述加工方法,例 如’可或可不干涉惰性滌洗氣體之流動,並利用任何現有 或尚待進一步研發之加工參數。而且,僅藉由實例說明, 可以使该天線内之開孔具氣體透射性及微波透射性,且該 天線具有該室。於此種情況下,氣體可流經許多該開孔, 並經過許多該開孔將微波能量透射至該加工室,在該加工 室内所容納的基板上有效形成表面微波電漿。氣體入口之 設計可以首先流至該天線,然後藉由該開孔流入該室内, 其中該微波能量係經由相同開孔或不同開孔透射。若必 要’於非經由許多該開孔透射該微波能量時,此種加工方 法可以不必使任何氣體流至該室。 89223-940826.doc -14- 1257438 又僅藉由實例說明,可連同使用Ticu作為第一先質氣 使用h2作為第二先f氣體,並使用含氦之惰性務洗= 體一起說明下述用以進行上述方法實例之較佳加工方法實 例。進行如上述之方法實例之下述較佳具體實施例/最佳: 式之揭示内容亦被視為構成上述之獨立發明之一部份。 通常參考圖5-9,其本質上係描述顯示呈第一先質氣體(亦 即,TiCU),惰性滌洗氣體(亦即,He),第二先質氣體(亦即, HO型式個別發生的不同個別氣體脈波之一般水平時間 表。該Η:時間,亦具有用以描述該能量之施加之相關虛線, 其最高的表面至少有意描述能有效形成在該室内流動之仏 氣體實例之電漿之功率水平。其可(且較佳的確),例如,如2 上述連同上述第一具體實施例,在適合之沉積室内構成 表面微波電漿產生之要素,除非在申請專利範圍中按照字 面的解釋找到尚待分析的事項,否則其並不限於該圖5_9具 體實施例。 先,考圖5,半導體基板可定位於原子層沉積室内。例 如如藉由TiC“氣體脈波pi所述,在該室内,使第一先質 氣體流至該基板,在該基板上有效形成第一單層。可或可 不利用私漿之產生。例如,如藉由氦氣體脈波p2所述,於 使孩第一單層流動後,使惰性滌洗氣體流至該室。例如, 如藉由H2氣體脈波p3所述,於使該惰性滌洗氣體流動後, 於電漿條彳下在該室内使第二先質氣體流至該基板,在該 基板上有效形成組成不同於該第一單層之第二單層。該第 一先質氣體之組成不同於該第一先質氣體。 89223-940826.doc -15- !257438 該室内之電漿條件包括施加能量至該室,其功率水平4〇 可維持該室内之該第二先質氣體P3所需之電漿條件。開始 施加此種能量至該室,並於時間點44使功率水平〇增加多 至«有效功率水平4〇,然後,例如,如時間點㈣述使 咸罘二先質氣體流至該室。在所描述該圖5具體實施例中, 沿著線42之該功率水平之增加具連續性,且其亦較佳以實 質上恆定之速率增加。在該室内可以於電漿存在或不存在 之情況下形成該第一單層。另外在與圖5-9有關之一項較佳 具體實施例中,及例如,如該最初的具體實施例所述,所 形成該第二單層可得自與該第一單層之反應,且該第二單 層含有該第-單層及該第二先質之組份。此外,在該圖5描 述之具體實施例中,惰性滌洗氣體之流動p2與第二先質氣 體之流動P3並不重疊。僅藉由實例說明,全部脈波之時間 實例為一秒。當然,亦可使用更長,較短及/或相等的時間。 形成茲第二單層後,開始使另一種惰性滌洗氣體料(就某 万面而言,其組成與該第一先質氣體之組成相同或不同)之 流動,然後開始減少該電漿有效功率。例如,圖5描述當該 電漿有效功率開始減少時,於時間點46(其係在時間點48之 前)開始該P4脈波。 在孩描述之圖5具體實施例中(且僅藉由實例說明),第二 先質氣體脈波P3及另一種惰性滌洗氣體脈波p4並未重疊。 此外,IV止使孩第二先質氣體流止該室,然後開始減少該 電漿有效功率。藉由實例說明,該所述步驟係發生於時間 點50,與稍後的時間點48(其中功率開始自功率水平4〇減少) 89223-940826.doc -16- 1257438 比較,於時間點50時,停止該第二先質氣體之流動。當然 可重複上述加工實施,例如,如經由氣體脈波P5,P6,P7 所示。 參考圖6說明另一項具體實施例。如同該圖5具體實施 例,使半導體基板定位於原子層沉積室内,並在該室内使 第一先質氣體流至該基板,在該基板上有效形成第一單 層。此種步騾可藉由PI TiCl4實例之脈波作用說明。形成該 第一單層後,於電漿條件下,在該室内使第二先質氣體流 至該基板,在該基板上有效形成組成不同於該第一單層之 第二單層。該第二先質氣體之組成不同於該第一先質氣 體,且相對於第二先質氣體H2之流動,其可經由僅作為一 種實例之P3描述。 在圖6中,該室内,該第二先質氣體之電漿發生係自可在 該室内產生電漿之第二外施功率水平之能量40至該室而發 生。至少於施加該第二次外施功率水平之此種能量40前, 施加某穩定態第一外施功率水平之此種能量至該室之某些 點。穩定態,第一外施功率水平62描述在圖6中,其小於第 二外施功率水平40,並可沿著虛線64使第一外施功率水平 62增至第二外施功率水平40。 在一項較佳具體實施例中,穩定態第一功率62並不足以 自該流動之第二先質氣體產生電漿。在一項較佳具體實施 例中,穩定態第一功率62並不足以自該流動的第一先質氣 體產生電漿。在該描述的較佳圖6具體實施例中,於無電漿 條件下在該室内,於第一先質流動P1時,並於可以在該基 89223-940826.doc -17- 1257438 板上有效形成第一單層之條件下,施加穩定態第一功率 62。在一項具體實施例中,第一功率水平62可視為一種基 礎功率水平之能量。 圖6亦描述流至該室之滌洗氣體之流動p2可調節第一先 貝氣體之流動P1及第二先質氣體之流動P2,並於滌洗氣體 流動P2時,施加穩定態第一功率62。此外,於部份惰性滌 洗氣體流動P2時,使基礎功率水平62上升至功率水平。 在該較佳圖6具體實施例中,於該第二先質氣體流動p3 後,開始滌洗氣體流動P4,於此種滌洗氣體流動p4時,並 於停止第二先質氣體流動P3後,使功率返回到功率水平 62。所描述該加工實例可連同氣體脈波p5,一起重 複,並僅提供一項將一或多層額外單層沉積在該第二單層 上之實例。圖6描述當第二先質氣體流動p3開始時,於時間 點66(其係在時間點68之前)開始上升或增加該功率水平。而 且,在泫較佳圖6具體實施例中,於時間點7〇(其發生於時 間點72之後)開始自功率水平4〇減少功率,於時間點72時, 停止第二先質氣體脈波P3之流動。 僅藉由實例說明,圖5及圖6係描述各該氣體脈波決不重 疊之較佳具體實施例。當然,本發明亦涵蓋至少各該氣體 脈波之部份重疊。僅藉由實例說明,且特別參考該第二先 質氣體之脈波發生,可參考圖7-1〇說明重疊現象之實例。 先參考圖7,除了該惰性P2脈波延伸以持續越過該”脈 波,且第二先質氣體流動P3停止後,該惰性打脈波流動停 止不同外,其步驟與圖6相同。 89223-940826.doc -18 - 1257438 參考圖8,例如,如具有氣體脈波p丨之TiCi4所述,在原子 層沉積室内,使第一先質氣體流至該基板,在該基板上有 效开y成第一單層。形成該第一單層後,使惰性鲦洗氣體流 至該室,例如,如氦氣體脈波P2所示。該惰性滌洗氣體流 動後’於電漿條件下在該室内使第二先質氣體流至該基 板’在該基板上形成組成不同於該第一單層之第二單層。 在某些方面,該第二先質氣體之組成不同於該第一先質氣 體,例如,如圖8之H2脈波P3所述。 亦如圖8所述,當惰性滌洗氣體流動p2停止時,於時間點 76(其在時間點77之前)開始於電漿條件下在該室内使該第 一先質氣體流動。而且,於電漿條件下在該室内於開始後 且當該第二先質氣體流動時,於該時間點77停止惰性滌洗 氣體流動P2。而且,圖8描述該室内之電线件包括以可以 在該室内維持該第二先質氣體所需之電漿條件之功率水平 4〇施加能源至該室。圖8亦描述基礎功率水平62之最低施 加,並沿著線段78使其上升至功率水平4()。然而,亦就該 _❼言’本發明_方㈣蓋與某些基礎功率水 平62相反之欲施加之零功率。不管如何,且呈非限制之形 式,圖8亦描述於時間點8{)開始之第二先質氣體脈扣,且 於時間點82(其在時間點80之後),該功率水平開始沿著虛線 7 8增加。 形成該第二單層後’描述當該第二先質氣體流動停止 時,於時間點8 4 (其在時間a 一 、 、在吁間點86义則)開始之另一種惰性滌洗 氣體流動P 4。當然,例如,4 严 J ^ 如經由氧體脈波P5,P6及P7所 89223-940826.doc -19- 1257438 述,可重複此種加工步驟。 僅藉由實例說明,圖9描述一種另外具體實施例,其中係 與構成該第二先質氣體開始流至該室之時間點8〇一致的時 間開始施加能量至該室,其功率水平可增加多至電漿有效 功率水平40。亦僅藉由實例說明,圖8描述自零開始,並返 回零之功率水平,且當該第二先質之流動停止時,於時間 點86亦可達零功率。 又僅藉由實例說明,圖10描述一種方法,其中當該第二 先質氣體流至該室時,於時間點90(其在時間點8〇之前),開 始施加能量至該室,其功率水平可增加多至功率水平4〇。 僅藉由實例說明,-般而言,自微波產生之電漿之特徵 為很淺的皮層深度,且該功率可以很有效地以很少數量被 消耗=般而言,形成表面微波電漿之方法為藉由進入該 反應罜丽分佈或擴大該微波能量,自微波產生均勻電漿。 -般而言’該微波功率自波導透射模式被轉化成可以與上 反應器平面天線/窗孔平行操作之波。可藉由能反射該微波 之轉換天線進行此種轉化成表面波之步驟。—旦該微波之 操作平行於該上平面天線時,該平面天線之小開孔可以釋 放部份該微波至該反應室’因此’可以使該功率遍及所要 區域。該平面天線中各該開孔之週期性決定該功率擴展之 位置及均勻性。 為了遵照法令,本發明已經 結構及有規律的特性。然而, 義包括實現本發明之較佳型式 或多或少以特定語言描述其 必需瞭解由於文中揭示之意 ’所以本發明並不受限於所 89223-940826.doc -20- 1257438 丁及所以各讀 說所適當_之附力,、要在根據同等物之學 权“口 申凊專利適合範圍内,本發明可以以 任何型式或修飾方式提出申請。 【圖式簡單說明】 參考以下附圖說明本發明料《”。 圖1為根據本發明-方面使用之原子層沉積裝置實例之 剖視圖。 圖2為根據本發明一卡^ ^ ^ 』万面炙一種方法實例之一系列分子 含量圖解。 圖3為根據本發明_方面之—種方法實例之一系列分子 含量圖解。 圖4為根據本發明一方面之〜種方法實例之一系列分子 含量圖解。 圖5為顯示根據本發明各方面實例加工之氣流及功率水 平之一系列一般時間表。 圖6為經由圖5描述之另一系列一般時間表。 圖7為經由圖5描述之另一系列一般時間表。 圖8為經由圖5描述之又另一系列一般時間表。 圖9為經由圖5描述之又另一系列一般時間表。 圖為經由圖5描述之另一系列一般時間表。 【圖式代表符號說明】 10 原子層沉積裝置 12 沉積室 14 半導體基板 89223-940826.doc -21 - 1257438 16 微波產生器 18 表面平面天線 20 微波透射孔 22,24 先質及/或滌洗氣體入口 26 真空垂伸線路 30 基板表面 32 含TiClx之第一單層 34 含TiB2之第二單層 40 含A1CHX之第二單層 42 含不同組成之第二單層 44 第三單層(亦即,A10x) 60 含TaClx之第一單層 62 組成不同於第一單層之第二單層 64 第三組合物單層(亦即,Ta) 66 第四單層(亦即,TaClx) PI TiCl4氣體脈波 P2 氦氣體脈波 P3 H2氣體脈波 40 電漿有效功率水平 42 功率水平 44, 45, 46, 48, 50, 66 68, 70, 72, 76, 77, 80 82, 84, 86 時間點 P4 另一種惰性滌洗氣體流動
89223-940826.doc -22- 1257438 P5, P6, P7 62 78 氣體脈波 第一外施功率水平 虛線 89223-940826.doc -23 -

Claims (1)

1257438 拾、申請專利範圍: 1 · 一種原子層沉積方法,其包括: 使半導體基板定位於一沉積室内; 在該室内,使第一先質氣體流至該基板,在該基板上 有效形成第一單層; 於表面微波電漿條件下,在該室内使組成不同於該第 一先質氣體之第二先質氣體流至該第一單層,與該第一 單層有效反應,在該基板上形成成份不同於該第一單層 之第二單層;該第二單層含該第一單層及該第二先質之 成份;以及 連續重複該第一及第二先質之流動,在該基板上有效 形成一堆含該第二單層組合物之材料。 2. 根據申請專利範圍第1項之方法,其中該第一先質氣體含 有TiCl4,而該第一單層含有TiClx。 3. 根據申請專利範圍第1項之方法,其中該第一先質氣體含 有TiCl4,該第一單層含有TiClx,該第二先質氣體含有 B2H6,該第二單層含有TiB2。 4. 根據申請專利範圍第1項之方法,其中該第一先質氣體含 有TiCl4,該第一單層含有TiClx,該第二先質氣體含有 B2H6,該第二單層含有TiB2,且所形成該物料本質上由 TiB2組成。 5. 根據申請專利範圍第1項之方法,其中該第一先質含有 TiCl4,該第一單層含有TiClx,該第二先質氣體含有B2H6, 該第二單層含有TiB2,且所形成該物料由TiB2組成。 89223-940826.doc 1257438 6. 根據申請專利範圍第1項之方法,其中該第一先質氣體之 流動係在該室内無電漿之情況下進行。 7. 根據申請專利範圍第1項之方法,其中該第一先質氣體之 流動係在該室内無表面微波電漿之情況下進行。 8. 根據申請專利範圍第1項之方法,其中該第一先質氣體之 流動包括在該室内使用電漿進行。 9. 根據申請專利範圍第1項之方法,其中該第一先質氣體之 流動包括在該室内使用表面微波電漿進行。 10·根據申請專利範圍第1項之方法,其中該第一單層係一種 即使在相同之加工條件下若非在該室内有表面微波電漿 之存在,實質上不與該第二先質反應之組合物。 11. 根據申請專利範圍第1項之方法,其中該第一先質氣體含 有TaCl5,而該第一單層含有丁 aClx。 12. 根據申請專利範圍第1項之方法,其中該第一先質氣體含 有TaCl5,該第一單層含有TaClx,該第二先質氣體含有 NH3,該第二單層含有TaN。 13. 根據申請專利範圍第1項之方法,其中該第一先質氣體含 有TaCl5,該第一單層含有TaClx,該第二先質氣體含有 NH3,該第二單層含有TaN,所形成該物料本質上由TaN組 成。 14. 根據申請專利範圍第1項之方法,其中該第一先質氣體含 有TaCl5,該第一單層含有TaClx,該第二先質氣體含有 NH3,該第二單層含有TaN,所形成該物料由TaN組成。 15. 根據申請專利範圍第1項之方法,其中該第一單層組份含 89223-940826.doc 1257438 有一種呈元素型式之金屬。 16·根據申請專利範圍第1項之方法,其中該第二單層含有一 種導電性金屬化合物,且該材料堆具導電性。 17. 根據申請專利範圍第1項之方法,其中該第二單層含有一 種介電質,且該材料堆具絕緣性。 18. —種原子層沉積方法,其包括: 使半導體基板定位於一沉積室内; 在該室内,使第一先質氣體流至該基板,在該基板上 有效形成第一單層; 於表面微波電漿條件下,在該室内使成份不同於該第 一先質氣體之第二先質氣體流至該第一單層,與該第一 單層有效反應,在該基板上形成成份不同於該第一單層 之第二單層;該第二單層含該第一單層及該第二先質組 份;以及 該第二先質氣體流動後’在該室内使成份不同於該第 一及第二先質氣體之第三先質氣體流至該第二單層,與 該第二單層有效反應,並在該基板上形成成份不同於該 第一及第二單層之第三單層。 19. 根據申請專利範圍第18項之方法,其係連續重複該第一、 第二及第三先質之流動,在該基板上有效形成一堆含該第 三單層組合物之材料。 20. 根據申請專利範圍第18項之方法,其係連續重複該第一、 第二及第三先質之流動,在基板上有效形成一堆本質上由 該第三單層組合物組成之材料。 89223-940826.doc 1257438 21. 根據申請專利範圍第1 8項之方法,其係連續重複該第一、 第二及第三先質之流動,在該基板上有效形成一堆由該第 三單層組合物組成之材料。 22. 根據申請專利範圍第18項之方法,其中該第一先質氣體含 有TiCl4,而該第一單層含有TiClx。 23. 根據申請專利範圍第1 8項之方法,其中該第一先質氣體含 有TiCl4,該第一單層含有TiClx,該第二先質氣體含有H2。 24. 根據申請專利範圍第18項之方法,其中該第一先質氣體含 有TiCl4,該第二單層含有TiClx,該第二先質氣體含有H2, 該第三先質氣體含有NH3,該第三單層含有TiN。 25. 根據申請專利範圍第1 8項之方法,其中至少一種該第一及 第三先質氣體之流動係在該室内無電漿之情況下進行。 26. 根據申請專利範圍第18項之方法,其中至少一種該第一及 第三先質氣體之流動係在該室内無表面微波電漿之情況 下進行。 27. 根據申請專利範圍第18項之方法,其中該第一及第三先質 氣體之流動皆在該室内無電漿之情況下進行。 28. 根據申請專利範圍第18項之方法,其中該第一及第三先質 氣體之流動皆在該室内無表面微波電漿之情況下進行。 29. 根據申請專利範圍第1 8項之方法,其中至少一種該第一及 第三先質氣體包括在室内使用電漿。 30. 根據申請專利範圍第1 8項之方法,其中至少一種該第一及 第三先質氣體之流動包括在該室内使用表面微波電漿。 31. 根據申請專利範圍第18項之方法,其中該第一及第三先質 89223-940826.doc -4- 1257438 氣體之流動包括皆在該室内使用電漿。 32. 根據申請專利範圍第18項之方法,其中該第一及第三先 質氣體之流動包括皆在該室内使用表面微波電漿。 33. 根據申請專利範圍第1 8項之方法,其中該第一單層係一種 即使在相同之加工條件下若非在該室内有表面微波電漿 之存在,實質上不與該第二先質反應之組合物。 34. 根據申請專利範圍第18項之方法,其中該第一先質氣體含 有三甲基鋁,而該第一單層含有A1CHX。 35. 根據申請專利範圍第18項之方法,其中該第一先質氣體含 有三甲基鋁,該第一單層含有A1CHX,該第二先質氣體含 有H2。 36. 根據申請專利範圍第1 8項之方法,其中該第一先質氣體含 有三甲基鋁,該第一單層含有A1CHX,該第二先質氣體含 有h2,該第三先質氣體含有nh3,該第三單層含有ai2o3。 37. 根據申請專利範圍第18項之方法,其中該第一先質氣體 含有TaCl5,該第一單層含有TaClx。 38. 根據申請專利範圍第18項之方法,其中該第一先質氣體 含有TaCl5,該第一單層含有TaClx,該第二先質氣體含有 H2 〇 39. 根據申請專利範圍第18項之方法,其中該第一先質氣體 含有TaCl5,該第一單層含有TaClx,該第二先質氣體含有 H2,該第三先質氣體含有NH3,該第三單層含有TaN。 40. —種原子層沉積方法,其包括: 使半導體基板定位於一沉積室内; 89223-940826.doc 1257438 在該室内,使第一先質氣體流至該基板,在該基板上 有效形成第一單層; 於表面微波電漿條件下,在該室内使成份不同於該第 一先質氣體之第二先質氣體流至該第一單層,與該第一 單層有效反應,在該基板上形成成份不同於該第一單層 之第二單層;該第二單層含該第一單層及該第二先質組 份;以及 流動該第二先質氣體後,在該室内使該第一先質氣體 流至該基板,與該第二單層有效反應,並a)移除該第二單 層組份,在該基板上形成組成不同於該第一及第二單層 之第三組合物單層;及b)在該第三組合物單層上形成具該 第一單層組合物之第四單層。 41. 根據申請專利範圍第40項之方法,其中該第三組合物單層 含有一種呈元素型式之金屬。 42. 根據申請專利範圍第40項之方法,其中該已移除組份含有 氫。 43. 根據申請專利範圍第40項之方法,其中該已移除組份含有 元素氫。 44. 根據申請專利範圍第40項之方法,其中該第一先質氣體之 流動係在該室内無電漿之情況下進行。 45. 根據申請專利範圍第40項之方法,其中該第一先質氣體之 流動係在該室内無表面微波電漿之情況下進行。 46. 根據申請專利範圍第40項之方法,其中該第一先質氣體之 流動包括在該室内使用電漿。 89223-940826.doc 1257438 47·根據申請專利範圍第40項之方法,其中該第一先質氣體之 流動包括在該室内使用表面微波電漿。 48. 根據申請專利範圍第40項之方法,其中該第一單層係一種 即使在相同之加工條件下若非在該室内有表面微波電漿 之存在,實質上不與該第二先質反應之組合物。 49. 根據申請專利範圍第40項之方法,其中該第一先質氣體含 有TiCl4,該第一單層含有TiClx,該第二先質含有Η2,該 第三組合物單層含有元素鈇。 50. 根據申請專利範圍第40項之方法,其中該第一先質氣體含 有TaCl5,該第一單層含有TaClx,該第二先質含有Η2,該 第三組合物單層含有元素Is。 51. —種原子層沉積方法,其包括: 將半導體基板定位於'^沉積室内, 在該室内使第一先質氣體流至該基板,在該基板上有 效形成第一單層; 形成該第一單層後,使惰性滌洗氣體流至該室; 使該惰性滌洗氣體流動後,於電漿條件下,在該室内 使第二先質氣體流至該基板,在該基板上有效形成成份 不同於該第一單層之第二單層,該第二先質氣體之成份 不同於該第一先質氣體,該電漿條件包括施加能量至該 室,其功率水平可維持該具有第二先質氣體之沉積室内 之電漿條件;以及 開始施加該能源至該室,其功率水平增高至電漿有效 功率,然後使該第二先質氣體流至該室。 89223-940826.doc 1257438 52·根據申請專利範圍第5 1項之方法,其中該惰性條洗氣體及 第二先質氣體之流動並未重疊。 53·根據申請專利範圍第5 1項之方法,其中該惰性條洗氣體及 第二先質氣體之流動重疊。 54.根據申請專利範圍第5 1項之方法,其中該惰性〉條洗氣體及 第二先質氣體之流動重疊,且包括該第二先質氣體之流動 停止後,停止該惰性滌洗氣體之流動。 55·根據申請專利範圍第5 1項之方法,其包括形成該第二單層 後,開始另一種惰性滌洗氣體之流動,然後開始減少該電 漿有效功率。 56.根據申請專利範圍第5 5項之方法,其中該第二先質及另— 種惰性滌洗氣體之流動並未重疊。 57·根據申請專利範圍第5 1項之方法,其包括停止使該第二先 質氣體流至該室,然後開始減少該電漿有效功率。 58·根據申請專利範圍第51項之方法,其包括: 形成该第二單層後,開始另一種惰性滌洗氣體之流 動,然後開始減少該電漿有效功率;並 停止使該第二先質氣體流至該室,然後開始減少該電 漿有效功率。 59.根據申請專利範圍第51項之方法,其中該電聚條件包括表 面微波電漿。 6〇·根據中請專利範圍第51項之方法,其中該功率之增加具連 續性。 八 61.根據中請專利範圍第51項之方法,其中該第—先質氣體含 89223-940826.doc 1257438 有TiCl4,該第一單層含有TiClx,該第二先質氣體含有H2。 62. 根據申請專利範圍第5 1項之方法,其中該第一單層係在該 室内無電漿之情況下形成。 63. 根據申請專利範圍第5 1項之方法,其中該第二單層可以與 該第一單層反應,該第二單層含有該第一單層及該第二先 質組份。 64. —種原子層沉積方法,其包括: 將半導體基板定位於一沉積室内; 在該室内使第一先質氣體流至該基板,在該基板上有 效形成第一單層; 形成該第一單層後,使惰性滌洗氣體流至該室; 流動該惰性滌洗氣體後,於電漿條件下在該室内使第 二先質氣體流至該基板,在該基板上有效形成組成不同 於該第一單層之第二單層,該第二先質氣體之組成不同 於該第一先質氣體,在停止該惰性滌洗氣體流動前,於 電漿條件下在該室内開始該第二先質氣體之流動;以及 於電漿條件下在該室内開始該第二先質氣體之流動 後,停止該惰性滌洗氣體之流動。 65. 根據申請專利範圍第64項之方法,其包括形成該第二單層 後,開始另一種惰性滌洗氣體之流動,然後停止使該第二 先質氣體流至該室。 66. 根據申請專利範圍第64項之方法,其中該電漿條件包括以 可以在該室内維持該第二先質氣體所需之電漿條件之功 率水平施加能量至該室;然後以增加功率水平至與開始使 89223-940826.doc 1257438 該第二先質氣體流至該室相同之該電漿有效功率水平開 始施加該能量至該室。 67·根據申請專利範圍第64項之方法,其中該電漿條件包括以 可以在該室内維持該第二先質氣體所需之電漿條件之功 率水平施加能量至該室;然後以增加功率水平至該電漿有 效功率水平開始施加該能量至該室,接著開始使該第二先 質氣體流至該室。 68. 根據申請專利範圍第64項之方法,其中該電漿條件包括以 可以在該室内維持該第二先質氣體所需之電漿條件之功 率水平施加能量至該室;然後於開始使該第二先質氣體流 至該室後,以增加功率水平多至該電漿有效功率水平開始 施加該能量至該室。 69. 根據申請專利範圍第64項之方法,其中該電漿條件包括表 面微波電漿。 70. 根據申請專利範圍第64項之方法,其中該第一先質氣體含 有TiCl4,該第一單層含有TiClx,該第二先質氣體含有H2。 71. 根據申請專利範圍第64項之方法,其中該第二單層可以與 該第一單層反應,該第二單層含有該第一單層及該第二先 質組份。 72. —種原子層沉積方法,其包括: 將半導體基板定位在一沉積室内; 在該室内使第一先質流至該基板,在該基板上有效形 成第一單層; 形成該第一單層後,於電漿條件下在該室内使第二先 89223-940826.doc -10 - 1257438 質氣體流至該基板,在該基板上有效形成組成不同於該 第一單層之第二單層,該第二先質氣體之組成不同於該 第一先質氣體,該室内之該第二先質氣體之電漿產生係 自第二外施功率之能量至該室時發生,且尚包括施加穩 定態第一外施功率之該能量至該室,然後施加該第二外 施功率之該能量,該穩定態第一外施功率小於該第二外 施功率,並增加該第一外施功率至該第二外施功率。 73. 根據申請專利範圍第72項之方法,其中該功率之增加具連 續性。 74. 根據申請專利範圍第72項之方法,其中該穩定態第一功率 不足以自流動該第二先質氣體產生電漿。 75. 根據申請專利範圍第72項之方法,其中該穩定態第一功率 不足以自流動該第一先質氣體產生電漿。 76. 根據申請專利範圍第72項之方法,其包括於該第一先質流 動時,施加該穩定態第一功率。 77. 根據申請專利範圍第76項之方法,其中該穩定態第一功率 不足以自流動該第一先質氣體產生電漿。 78. 根據申請專利範圍第72項之方法,其包括使惰性滌洗氣體 流至該室以調節該第一及第二先質氣體之流動。 79. 根據申請專利範圍第78項之方法,其包括於該惰性滌洗氣 體流動時,施加該穩定態第一功率。 80. 根據申請專利範圍第78項之方法,其包括於該第二先質氣 體流體後,使惰性滌洗氣體流至該室,於該惰性滌洗氣體 流動時,施加該穩定態第一功率以調整該第一與第二先質 89223-940826.doc -11 - 1257438 氣體之流動,並於該第二先質流動後,在該惰性滌洗氣體 流動時,施加該穩定態第一功率。 81. 根據申請專利範圍第72項之方法,其中該電漿條件包括表 面微波電漿。 82. 根據申請專利範圍第72項之方法,其包括開始該功率之增 加,然後開始該第二先質氣體之流動。 83. 根據申請專利範圍第72項之方法,其包括於開始該第二先 質氣體流動後,開始該功率之增加。 84. 根據申請專利範圍第72項之方法,其包括開始增加功率至 與開始該第二先質氣體流動之功率相同。 85. 根據申請專利範圍第72項之方法,其包括於停止該第二先 質氣體之流動後,減少功率至該穩定態第一功率。 86. 根據申請專利範圍第72項之方法,其包括減少功率至該穩 定態第一功率,然後停止該第二先質氣體之流動。 87. 根據申請專利範圍第72項之方法,其中該第一先質氣體含 有TiCl4,該第一單層含有TiClx,該第二先質氣體含有H2。 88. —種原子層沉積方法,其包括: 使半導體基板定位於一沉積室内; 施加基礎功率水平之能量至該基板定位於其内之該室 内; 當施加該基礎功率水平之能量時,於非電漿條件下在 該室内使第一先質氣體流至該基板,在該基板上有效形 成第一單層; 形成該第一單層後,使該基礎功率水平之該能量上升 89223-940826.doc -12- 1257438 至在該室内可產生電漿之功率水平; 在該室内,使第二先質氣體流至該基板,同時施加該 電漿有效功率水平之該能量至該室,以有效形成電漿, 並使該第二先質氣體流至該第一單層,以在該基板上形 成在成份上不同於該第一單層之第二單層;以及 形成於該第二單層後,使該電漿有效功率水平之該能 量減至該基礎功率水平,其後將另一單層沉積至該第二 單層上。 89·根據申請專利範圍第88項之方法,其中該功率之上升具連 續性。 90. 根據申請專利範圍第88項之方法,其包括使惰性滌洗氣體 流至該室以調節該第一與第二先質氣體之流動。 91. 根據申請專利範圍第90項之方法,其包括於該惰性滌洗氣 體流動時,施加該基礎功率水平之能量。 92. 根據申請專利範圍第90項之方法,其包括於該第二先質氣 體流動後,使惰性滌洗氣體流至該室,於該惰性滌洗氣體 流動時,施加該基礎功率水平之能量以調節該第一與第二 先質氣體之流動,並於該第二先質流動後,在該惰性滌洗 氣體流動時,施加該基礎功率水平之能量。 93. 根據申請專利範圍第88項之方法,其中該電漿包括表面微 波電漿。 94. 根據申請專利範圍第88項之方法,其包括開始該功率之上 升,然後開始該第二先質氣體之流動。 95. 根據申請專利範圍第88項之方法,其包括於開始該第二先 89223-940826.doc -13- 1257438 貝氣體流動後’開始該功率之上升步驟。 96·根據申請專利範圍第88項之方法,其包括開始該功率之上 升使其與開始該第二先質氣體流動之功率相同。 97·根據申請專利範圍第88項之方法,其包括於停止該第二先 貝氣體流動後,開始該功率之減少步驟。 98·根據申請專利範圍第88項之方法,其包括開始該功率之減 少步驟,然後停止該第二先質氣體之流動。 "·根據申請專利範圍第8 8項之方法,其中該第一先質氣體含 有TiCU,該第一單層含有Ticlx,該第二先質氣體含有H2。 1〇〇·根據申請專利範圍第丨項之方法,其中該表面微波電漿條 件包含: 提供一與該半導體基板相間隔之微波天線,該天線具 有複數個位於其内之開孔;及 使该第二先質氣體流經至少一些該開孔,而經由該天 線進入該室内,並利用該第二先質氣體及該天線產生一 表面微波電聚。 101·—種原子層沉積方法,其包括: 使半導體基板定位於一沉積室内; 於該室内,使第一先質氣體流至該基板,在該基板上 有效形成第一單層; 開始施加一處於增加之功率水平之微波能量至該室; 在該施加能量步驟之後,開始使組成不同於該第一先 質氣體之第二先質氣體流至該第一單層; 於°亥開始弟一先質氣體流動之步驟後,繼續增加功率 89223-940826.doc -14- 1257438 水平直到至少達到可維持該第二先質氣體所需電漿條件 之功率水平為止; 當該第二先質氣體正對該基板時,自該第二先質氣體 產生電漿;以及 停止該第二先質氣體之流動,並接著中斷微波能量之 施加。 89223-940826.doc -15 - 1257438 柒、指定代表圖: (一) 本案指定代表圖為:第(5 )圖。 (二) 本代表圖之元件代表符號簡單說明: 40 電漿有效功率水平 42 功率水平 44, 45, 46, 48, 50 時間點 P1 TiCU氣體脈波 P2 氦氣體脈波 P3 H2氣體脈波 P4 另一種惰性滌洗氣體流動 P5, P6, P7 氣體脈波 捌、本案若有化學式時5 (無) ,請揭示最能顯示發明特徵的化學式 89223-940826.doc
TW092131525A 2002-11-12 2003-11-11 Atomic layer deposition methods TWI257438B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/293,072 US7022605B2 (en) 2002-11-12 2002-11-12 Atomic layer deposition methods

Publications (2)

Publication Number Publication Date
TW200424350A TW200424350A (en) 2004-11-16
TWI257438B true TWI257438B (en) 2006-07-01

Family

ID=32229589

Family Applications (1)

Application Number Title Priority Date Filing Date
TW092131525A TWI257438B (en) 2002-11-12 2003-11-11 Atomic layer deposition methods

Country Status (10)

Country Link
US (4) US7022605B2 (zh)
EP (1) EP1561239B1 (zh)
JP (1) JP2006505696A (zh)
KR (3) KR100704086B1 (zh)
CN (1) CN100483636C (zh)
AT (1) ATE507579T1 (zh)
AU (1) AU2003290815A1 (zh)
DE (1) DE60336920D1 (zh)
TW (1) TWI257438B (zh)
WO (1) WO2004044963A2 (zh)

Families Citing this family (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7022605B2 (en) * 2002-11-12 2006-04-04 Micron Technology, Inc. Atomic layer deposition methods
US7097782B2 (en) * 2002-11-12 2006-08-29 Micron Technology, Inc. Method of exposing a substrate to a surface microwave plasma, etching method, deposition method, surface microwave plasma generating apparatus, semiconductor substrate etching apparatus, semiconductor substrate deposition apparatus, and microwave plasma generating antenna assembly
ES2380699T3 (es) * 2004-06-08 2012-05-17 Dichroic Cell S.R.L. Sistema para la deposición química en fase de vapor asistida por plasma de baja energía
US20050276922A1 (en) * 2004-06-10 2005-12-15 Henry Bernhardt Method of forming thin dielectric layers
KR100589062B1 (ko) * 2004-06-10 2006-06-12 삼성전자주식회사 원자층 적층 방식의 박막 형성방법 및 이를 이용한 반도체소자의 커패시터 형성방법
JP4515191B2 (ja) * 2004-08-03 2010-07-28 東京エレクトロン株式会社 成膜方法
KR100653705B1 (ko) * 2004-10-13 2006-12-04 삼성전자주식회사 원자층증착법을 이용한 박막 형성방법
KR100714269B1 (ko) * 2004-10-14 2007-05-02 삼성전자주식회사 반도체 소자 제조에 사용되는 금속층 형성방법
US20060210723A1 (en) * 2005-03-21 2006-09-21 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7435454B2 (en) * 2005-03-21 2008-10-14 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7338901B2 (en) * 2005-08-19 2008-03-04 Tokyo Electron Limited Method of preparing a film layer-by-layer using plasma enhanced atomic layer deposition
US7959985B2 (en) * 2006-03-20 2011-06-14 Tokyo Electron Limited Method of integrating PEALD Ta-containing films into Cu metallization
US7981815B2 (en) * 2006-07-20 2011-07-19 Hitachi Kokusai Electric Inc. Semiconductor device producing method and substrate processing apparatus
US7692222B2 (en) * 2006-11-07 2010-04-06 Raytheon Company Atomic layer deposition in the formation of gate structures for III-V semiconductor
US20090203197A1 (en) * 2008-02-08 2009-08-13 Hiroji Hanawa Novel method for conformal plasma immersed ion implantation assisted by atomic layer deposition
US20090325386A1 (en) * 2008-06-02 2009-12-31 Mattson Technology, Inc. Process and System For Varying the Exposure to a Chemical Ambient in a Process Chamber
US20120263887A1 (en) * 2011-04-13 2012-10-18 Varian Semiconductor Equipment Associates, Inc. Technique and apparatus for ion-assisted atomic layer deposition
TW201306082A (zh) * 2011-04-18 2013-02-01 Tokyo Electron Ltd 電漿評估方法、電漿處理方法及電漿處理裝置
CN102344134B (zh) * 2011-09-23 2013-03-06 中国科学院微电子研究所 一种石墨的制备方法
US9194045B2 (en) 2012-04-03 2015-11-24 Novellus Systems, Inc. Continuous plasma and RF bias to regulate damage in a substrate processing system
JP2015012179A (ja) * 2013-06-28 2015-01-19 住友電気工業株式会社 気相成長方法
US9145607B2 (en) 2013-10-22 2015-09-29 Lam Research Corporation Tandem source activation for cyclical deposition of films
WO2015180077A1 (zh) * 2014-05-28 2015-12-03 王东君 一种辅助增强原子层沉积方法
KR101736840B1 (ko) * 2015-10-12 2017-05-17 세메스 주식회사 안테나 및 이를 포함하는 기판 처리 장치
FI129609B (en) 2020-01-10 2022-05-31 Picosun Oy SUBSTRATE PROCESSING EQUIPMENT

Family Cites Families (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US119673A (en) * 1871-10-03 Improvement in combined latches and locks
US52323A (en) * 1866-01-30 File-clasp for documents
US4838983A (en) * 1986-07-03 1989-06-13 Emcore, Inc. Gas treatment apparatus and method
JP2587623B2 (ja) * 1986-11-22 1997-03-05 新技術事業団 化合物半導体のエピタキシヤル結晶成長方法
JPH05343334A (ja) 1992-06-09 1993-12-24 Hitachi Ltd プラズマ発生装置
JP3571129B2 (ja) * 1995-11-10 2004-09-29 株式会社半導体エネルギー研究所 プラズマcvd法および薄膜トランジスタの作製方法
KR970071945A (ko) * 1996-02-20 1997-11-07 가나이 쯔도무 플라즈마처리방법 및 장치
US5874706A (en) * 1996-09-26 1999-02-23 Tokyo Electron Limited Microwave plasma processing apparatus using a hybrid microwave having two different modes of oscillation or branched microwaves forming a concentric electric field
US5976623A (en) * 1996-12-03 1999-11-02 Lucent Technologies Inc. Process for making composite films
KR100385946B1 (ko) * 1999-12-08 2003-06-02 삼성전자주식회사 원자층 증착법을 이용한 금속층 형성방법 및 그 금속층을장벽금속층, 커패시터의 상부전극, 또는 하부전극으로구비한 반도체 소자
US6861356B2 (en) * 1997-11-05 2005-03-01 Tokyo Electron Limited Method of forming a barrier film and method of forming wiring structure and electrodes of semiconductor device having a barrier film
US20010052323A1 (en) * 1999-02-17 2001-12-20 Ellie Yieh Method and apparatus for forming material layers from atomic gasses
JP3430959B2 (ja) * 1999-03-04 2003-07-28 東京エレクトロン株式会社 平面アンテナ部材、これを用いたプラズマ処理装置及びプラズマ処理方法
KR100745495B1 (ko) * 1999-03-10 2007-08-03 동경 엘렉트론 주식회사 반도체 제조방법 및 반도체 제조장치
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6511539B1 (en) 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6486924B1 (en) * 2000-02-03 2002-11-26 Terk Technologies Corp. Television antenna with bypassable amplifier circuit
JP3477573B2 (ja) 2000-03-24 2003-12-10 東京エレクトロン株式会社 プラズマ処理装置、プラズマ生成導入部材及びスロット電極
US6369763B1 (en) 2000-04-05 2002-04-09 Asi Technology Corporation Reconfigurable plasma antenna
OA12245A (en) * 2000-04-10 2003-11-10 Pfizer Prod Inc Benzoamide piperidine compounds as substance P antagonists.
US6409451B1 (en) 2000-04-13 2002-06-25 Ibp, Inc. Item handler and method for flow through storage
US6620723B1 (en) * 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US7101795B1 (en) 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US6847003B2 (en) * 2000-10-13 2005-01-25 Tokyo Electron Limited Plasma processing apparatus
US6355561B1 (en) 2000-11-21 2002-03-12 Micron Technology, Inc. ALD method to improve surface coverage
US6689220B1 (en) 2000-11-22 2004-02-10 Simplus Systems Corporation Plasma enhanced pulsed layer deposition
KR100385947B1 (ko) * 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
WO2002070142A1 (en) 2000-12-06 2002-09-12 Angstron Systems, Inc. Method and apparatus for improved temperature control in atomic layer deposition
US6416822B1 (en) * 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6630201B2 (en) * 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
US20020076507A1 (en) * 2000-12-15 2002-06-20 Chiang Tony P. Process sequence for atomic layer deposition
KR100390831B1 (ko) * 2000-12-18 2003-07-10 주식회사 하이닉스반도체 플라즈마 원자층 증착법에 의한 탄탈륨옥사이드 유전막형성 방법
US6998014B2 (en) * 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
WO2003076678A2 (en) * 2002-03-08 2003-09-18 Sundew Technologies, Llc Ald method and apparatus
US6845734B2 (en) 2002-04-11 2005-01-25 Micron Technology, Inc. Deposition apparatuses configured for utilizing phased microwave radiation
US6838125B2 (en) * 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
US6967154B2 (en) * 2002-08-26 2005-11-22 Micron Technology, Inc. Enhanced atomic layer deposition
US7022605B2 (en) * 2002-11-12 2006-04-04 Micron Technology, Inc. Atomic layer deposition methods
US7097782B2 (en) * 2002-11-12 2006-08-29 Micron Technology, Inc. Method of exposing a substrate to a surface microwave plasma, etching method, deposition method, surface microwave plasma generating apparatus, semiconductor substrate etching apparatus, semiconductor substrate deposition apparatus, and microwave plasma generating antenna assembly

Also Published As

Publication number Publication date
KR20060110378A (ko) 2006-10-24
EP1561239A2 (en) 2005-08-10
KR100704086B1 (ko) 2007-04-06
US20060172534A1 (en) 2006-08-03
CN1739188A (zh) 2006-02-22
KR100719644B1 (ko) 2007-05-18
WO2004044963A2 (en) 2004-05-27
US7115529B2 (en) 2006-10-03
WO2004044963B1 (en) 2004-12-23
KR100733181B1 (ko) 2007-06-28
JP2006505696A (ja) 2006-02-16
EP1561239B1 (en) 2011-04-27
US7576012B2 (en) 2009-08-18
US20040092132A1 (en) 2004-05-13
DE60336920D1 (de) 2011-06-09
US7402518B2 (en) 2008-07-22
US7022605B2 (en) 2006-04-04
US20060029738A1 (en) 2006-02-09
KR20050074581A (ko) 2005-07-18
TW200424350A (en) 2004-11-16
KR20060105006A (ko) 2006-10-09
AU2003290815A8 (en) 2004-06-03
AU2003290815A1 (en) 2004-06-03
US20050260854A1 (en) 2005-11-24
WO2004044963A3 (en) 2004-11-25
CN100483636C (zh) 2009-04-29
ATE507579T1 (de) 2011-05-15

Similar Documents

Publication Publication Date Title
TWI257438B (en) Atomic layer deposition methods
KR102104390B1 (ko) GeO2의 원자층 증착
KR102357418B1 (ko) 유기금속 공-반응물들과의 교차-복분해 반응들을 통해 sic 및 sicn 막들을 증착시키기 위한 장치들 및 방법들
TW202111148A (zh) 包括介電層之結構、其形成方法及執行形成方法的反應器系統
KR101496644B1 (ko) 증착으로부터 반응성 부위의 비활성화
US7488386B2 (en) Atomic layer deposition methods and chemical vapor deposition methods
Leick et al. Atomic layer deposition of Ru from CpRu (CO) 2Et using O2 gas and O2 plasma
TWI400343B (zh) A substrate processing method and a substrate processing apparatus
JP2013521650A (ja) ラジカル成分cvdによる共形層
JP2006294750A (ja) 薄膜堆積装置及び方法
US10851454B2 (en) Metal deposition methods
JP2018059173A (ja) 成膜方法
US6090705A (en) Method of eliminating edge effect in chemical vapor deposition of a metal
JP2022505844A (ja) ライナーレス連続アモルファス金属膜
TWI291721B (en) Method and system for atomic layer removal and atomic layer exchange
Nallan et al. Low temperature, area-selective atomic layer deposition of NiO and Ni
US10612140B2 (en) Method and corresponding reactor for preparing metal nitrides with adjustable metal contents
TW202030359A (zh) 矽氮化物膜的沉積方法
TW201131586A (en) Method for depositing thin tungsten film with low resistivity and robust micro-adhesion characteristics
TW200829734A (en) Chemical vapor deposition

Legal Events

Date Code Title Description
MK4A Expiration of patent term of an invention patent