KR100733181B1 - 원자층 증착 방법 - Google Patents

원자층 증착 방법 Download PDF

Info

Publication number
KR100733181B1
KR100733181B1 KR1020067019855A KR20067019855A KR100733181B1 KR 100733181 B1 KR100733181 B1 KR 100733181B1 KR 1020067019855 A KR1020067019855 A KR 1020067019855A KR 20067019855 A KR20067019855 A KR 20067019855A KR 100733181 B1 KR100733181 B1 KR 100733181B1
Authority
KR
South Korea
Prior art keywords
precursor gas
monolayer
chamber
precursor
power
Prior art date
Application number
KR1020067019855A
Other languages
English (en)
Other versions
KR20060105006A (ko
Inventor
트렁 트리 도안
가이 티. 블라록
구르테지 에스. 산드후
Original Assignee
미크론 테크놀로지,인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 미크론 테크놀로지,인코포레이티드 filed Critical 미크론 테크놀로지,인코포레이티드
Publication of KR20060105006A publication Critical patent/KR20060105006A/ko
Application granted granted Critical
Publication of KR100733181B1 publication Critical patent/KR100733181B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/38Borides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/403Oxides of aluminium, magnesium or beryllium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/515Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using pulsed discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3141Deposition using atomic layer deposition techniques [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02183Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing tantalum, e.g. Ta2O5
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31616Deposition of Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/3165Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation
    • H01L21/31683Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of metallic layers, e.g. Al deposited on the body, e.g. formation of multi-layer insulating structures

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Physical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

기판 위에 제 1 단층을 형성하기 위해 상기 챔버 내부의 기판으로 제 1 전구체 기체가 흐른다. 제 1 전구체 기체와 조성물이 다른 제 2 전구체 기체는 상기 챔버 내부의 표면 마이크로파 플라즈마 조건 하에서 상기 챔버 내부의 제 1 단층으로 흐름으로써, 상기 제 1 단층과 반응하고 그리고 상기 제 1 단층과 조성물이 다른 제 2 단층을 기판 위에 형성하게 된다. 상기 제 2 단층은 상기 제 1 단층 및 제 2 전구체 성분을 포함한다. 한 구현예에서, 제 1 및 제 2 전구체 흐름은 연속적으로 반복됨으로써, 상기 제 2 단층 조성물의 기판 위에 물질 덩어리를 형성하게 된다. 다른 추가적인 구현예가 고려된다.

Description

원자층 증착 방법{ATOMIC LAYER DEPOSITION METHODS}
도 1은 본 발명에 따라 사용가능한 예시적 원자층 증착 장치의 단면도이다.
도 2는 본 발명의 한 태양에 따른 예시적 방법의 연속된 분자 레벨이다.
도 3은 본 발명에 따른 예시적 방법의 연속된 분자 레벨이다.
도 4는 본 발명의 한 태양에 따른 예시적 방법의 연속된 분자 레벨이다.
도 5는 본 발명의 예시적 태양에 따른 예시적 기체 흐름 및 파워 레벨을 나타내는 일련의 공통 타임라인(timeline)이다.
도 6은 도 5에 도시된 것의 대안적인 일련의 공통 타임라인이다.
도 7은 도 5에 도시된 또 다른 대안적인 일련의 공통 타임라인이다.
도 8은 도 5에 도시된 또 다른 대안적인 일련의 공통 타임라인이다.
도 9는 도 5에 도시된 또 다른 대안적인 일련의 공통 타임라인이다.
도 10은 도 5에 도시된 또 다른 대안적인 일련의 공통 타임라인이다.
본 발명은 원자층 증착 방법에 관한 것이다.
집적 회로의 제작에 있어서 반도체 공정은 반도체 기판 위에 층을 증착하는 것을 포함한다. 하나의 방법으로 원자층 증착(ALD)이 있으며, 이는 부대기압에서 일반적으로 유지되는 증착 챔버 내의 기판 위로 연속적인 단층을 증착하는 것과 관련된다. 일반적인 ALD에서는, 기판 표면에 서로 다른 증착 전구체의 연속적 피딩(feeding)에 의하여, 연속적인 단일 원자층이 기판에 흡수되거나 또는 기판 위 외부 층과 반응한다.
예시적 ALD 방법은 기판 위에 제 1 단층을 형성하기 위해 단일 증착 전구체(precursor)를 증착 챔버로 피딩(feeding)하는 단계를 포함한다. 이후, 제 1 증착 전구체의 흐름은 중단되고 그리고 비활성 퍼지 기체는 상기 챔버로부터 기판에 고착되지 않는 남아있는 제 1 전구체를 제거하기 위해 상기 챔버를 통해 흐른다. 이후, 상기 제 1 전구체와 다른 제 2 증기 증착 전구체는 상기 제 1 단층 위에/함께 제 2 단층을 형성하도록 상기 챔버로 흐른다. 상기 제 2 단층은 상기 제 1 단층과 반응할 수 있다. 추가 전구체는 연속적인 단층을 형성할 수 있거나, 또는 상기 프로세스는 원하는 두께 및 조성물(composition)의 층이 기판 위로 형성될 때까지 반복될 수 있다.
본 발명은 원자층 증착 방법을 포함한다. 한 구현예에서, 반도체 기판은 원자층 증착 챔버 내에 위치한다. 제 1 전구체 기체는 기판 위에 제 1 단층을 형성하도록 상기 챔버 내 기판으로 흐른다. 상기 제 1 전구체 기체와 조성물에 있어서 다른 제 2 전구체 기체는 상기 챔버 내 제 1 단층으로 흐름으로써, 상기 제 1 단층과 반응하고 그리고 상기 제 1 단층과 조성물이 다른 제 2 단층을 상기 기판 위에 형 성한다. 상기 제 2 단층은 상기 제 1 단층의 성분 및 제 2 전구체를 포함한다. 한 구현예에서, 상기 제 1 및 제 2 전구체 흐름은 상기 제 2 단층 조성물의 기판 위에 물질의 덩어리(mass)를 형성하도록 연속적으로 반복된다. 한 구현예에서, 상기 제 2 기체 흐름 이후, 상기 제 1 및 제 2 전구체 기체와 조성물이 다른 제 3 전구체 기체는 상기 챔버 내 제 2 단층으로 흐름으로써, 상기 제 2 단층과 반응하고 그리고 상기 제 1 및 제 2 단층과 조성물이 다른 제 3 단층을 상기 기판 위에 형성한다. 한 구현예에서, 상기 제 2 전구체 기체의 흐름 이후, 상기 제 1 전구체 기체는 상기 챔버 내 기판으로 흐름으로써, 상기 제 2 단층과 반응하고 그리고 a)상기 제 1 및 제 2 단층과 조성물이 다른 제 3 조성물 단층을 상기 기판 위에 형성하도록 상기 제 2 단층의 성분을 제거하며 또한 b)상기 제 3 조성물 단층 위에 상기 제 1 단층 조성물의 제 4 단층을 형성한다.
도 1은 본 발명에 따라 사용가능한 예시적 원자층 증착 장치를 도시한다. 상기 장치는 챔버 내의 표면 마이크로파 플라즈마의 생성을 가능하게 하며, 이때 상기 챔버는 반도체 기판에 대하여 원자층 증착이 이루어진다. 본원에서, "표면 마이크로파 플라즈마(surface microwave plasma)"는 현존하는 방식 및 개발되어질 방식이든 간에, 다수의 개별, 이격된 마이크로파 방출원으로부터 마이크로파 에너지를 전송함으로써 처리될 기판에 대하여 기체 내에서 생성된 플라즈마로 정의된다. 하나의 현존하는 방식은 가령, 표면 평면 안테나(SPA) 또는 방사 라인 슬롯 안테나(RLSA)와 같은 안테나를 사용한다. 실시예에서, 미국 특허 6,399,520 및 6,343,565는 본원에서 참조로 인용된다.
장치(10)는 반도체 기판(14)을 갖는 증착 챔버(12)를 포함하는 것으로 도시된다. 본원에서, 용어 "반도체 기판"은 반도체 웨이퍼(단일 웨이퍼 도는 다른 재료를 웨이퍼 위에 포함하는 어셈블리)와 같은 벌크 반도체 재료 및 반도체 재료 층(단일 층 또는 다른 재료를 포함하는 어셈블리)을 포함하는 반도체 재료를 갖는 구조물을 의미하도록 정의된다. 상기 용어 "기판"은 상기 설명된 반도체 기판을 포함하는 지지 구조물을 의미한다. 적절한 지지 또는 메커니즘(도시되지 않음)이 기판(14)을 지지하는데 제공될 수 있고, 또한 온도가 제어되며, 전원이 공급되고, 그리고/또는 챔버(12) 내에 원하는대로 기판(14)의 위치를 설정하도록 구성될 수 있다.
적절한 마이크로파 발생기(16)는 상기 증착 챔버(12)에 수용된 표면 평면 안테나(18)와 동작시 연결된다. 일반적으로, 표면 평면 안테나(18)는 다수의 마이크로파 전송 개구부(20)를 갖는 금속 재료로 구성되고, 상기 개구부를 통해 소스(16)에서 생성된 마이크로파 에너지는 챔버(12) 내에서 기판(14)의 표면 가까이를 통과한다. 따라서, 표면 평면 안테나(18)가 수용되는 상기 챔버(12)의 상측벽은 또한 마이크로파 전달가능하도록 주어진다. 물론, 상기 표면 평면 안테나(18)의 일부 혹은 전부는 상기 증착 챔버(12) 내에 제공될 수 있다. 기판(13)의 상측면과 제 2 안테나(28)의 하부 표면과의 간격은 65mm가 선호된다. 물론, 보다 크거나 작은 간격이 이용될 수 있다. 일부 경우, 65mm보다 상당히 작은 간격이 이용될 수 있다. 또한, 마이크로파 이외에도 상기 챔버(12) 내부이거나 외부에서 마이크로파 생성과 관련되어 에너지 생성이 고려된다.
예시적 전구체 및/또는 퍼지 기체 입구(22 및 24)는 전구체 및/또는 퍼지 기체를 챔버(12) 내의 중간 기판(14) 및 표면 평면 안테나(18)로 방출하도록 도시되어 있다. 아래를 향하는 진공 라인(26)은 챔버(26)로부터 물질을 배출하도록 도시되어 있다. 도 1의 장치는 단순히 구성상 예시적이며, 본 발명의 방법적 태양에 따라 다른 적절한 장치가 사용될 수 있다. 예를 들어, 샤워헤드, 다중 포트, 또는 다른 수단과 같은 대안적 구성이 현재 존재하든 아니면 개발중이든 간에 기체를 챔버로 가져가고 그리고 상기 챔버로부터 물질을 배출하도록 고려된다.
기판(14)과 같은 반도체 기판은 원자층 증착 챔버 내에 위치한다. 제 1 전구체 기체는 상기 기판 위에 제 1 단층을 형성하기 위해 상기 입구(22 및 24) 중 하나 또는 둘다를 통하여 상기 챔버내의 기판으로 흐른다. 실시예에서, TiB2 층을 형성하는 것과 관련하여, 예시적 제 1 전구체 기체는 TiCl4만을 포함하거나 비활성 또는 다른 기체와 결합한다. 상기 TiCl4로부터 생성된 예시적 제 1 단층은 도 2와 관련하여 도시된 TiClx이다. 도 2는 TiCl4를 이용하는 원자층 증착 방법에서 예시적인 순차적 프로세싱을 도시하고 있다. 도 2의 좌측부는 TiClx를 포함하는 제 1 단층(32)을 갖는 적절한 기판 표면(30)을 도시한다. 이는 실시예에서, 티타늄으로부터 외부로 확장하는 염소 원자 또는 분자를 갖는 기판 표면(30)에 부착하는 티타늄의 형태이다.
일반적으로, 남아있는 제 1 전구체 기체는 비활성 퍼지 기체 또는 일부 다른 방법을 이용하여 상기 챔버로부터 정화될 것이다. 반면, 상기 제 1 전구체 기체와 조성물이 다른 제 2 전구체 기체는 이후 상기 챔버 내의 표면 마이크로파 플라즈마 조건하에서 상기 챔버 내의 제 1 단층으로 흐름으로써, 상기 제 1 단층과 반응하고 그리고 상기 제 1 단층과 조성물이 다른 제 2 단층을 기판 위에 형성하며, 이때 상기 제 2 단층은 상기 제 1 단층 및 제 2 전구체의 성분을 포함한다. 본원에서, "조성물이 다르다는 것(different in composition)"은 비교될 기체로부터 대안적 및/또는 추가적 반응 성분을 갖는 기체를 의미한다.
도 2의 가운데 도면에서는 B2H6를 포함하면서 표면 마이크로파 플라즈마 조건하에서 활성화된 제 2 전구체 기체의 선호되는 예를 보여준다. 오른쪽 도면에서와 같이, 이는 TiB2를 포함하고 부산물로서 HCl을 갖는 제 2 단층(34)을 형성하기 위해 제 1 단층(32)과 반응한다. 제 2 단층(34)은 제 1 단층(즉, Ti)의 성분 및 제 2 전구체(즉, B)의 성분을 포함한다.
앞서 설명된 제 1 및 제 2 전구체 흐름은 상기 제 2 단층 조성물의 기판 위에 물질의 덩어리를 형성하도록 연속적으로 반복된다. 상기 제조된 물질의 덩어리는 제 2 단층 조성물을 포함한다. 예를 들어, 본 발명은, 가령 기판 위에 물질의 덩어리를 형성함에 있어서 앞서 설명된 제 1 및 제 2 전구체 기체만을 이용하는 것과 비교하여 대안적인 제 1 및/또는 제 2 전구체 기체를 도입함으로써, 상기 제 2 단층 조성물을 제외한 물질의 덩어리를 제조할 가능성을 고려한다.
앞서 설명된 예시적인 프로세스는 원소 형태의 금속(즉, 티타늄)으로서 상기 제 1 단층으로부터 제 2 단층 성분을 가지며, 이때 상기 제 2 단층은 전도성 금속 화합물을 포함한다. 추가적으로 하나의 선호되는 실시예에서, 상기 물질의 덩어리는 전도성으로 형성된다. 실시예에서만, 이러한 프로세싱의 대안은 TaClx를 포함하는 제 1 단층을 형성하기 위해 TaCl5를 포함하는 제 1 전구체 기체를 이용한다. 이 경우, 예시적인 제 2 전구체 기체는 TaN을 포함하는 제 2 단층을 형성하도록 NH3를 포함한다. 앞서-설명된 실시예에서, 비활성 기체, 흐름 속도, 파워, 온도, 압력 및 다른 동작 매개변수는 본원에서 선호되는 특정 매개변수가 없이 당업자들이 선택하여 최적화될 수 있다.
실시예에서만, 상기 제 2 단층은 유전체 물질 및 절연성으로 제조될 물질층을 포함하도록 형성될 수 있다. Al2O3를 포함하는 절연 덩어리를 형성하기 위하여, 일반적으로 기체는 제 1 전구체 기체로서 트리메틸알루미늄 및 제 2 전구체 기체로서 O3 및/또는 H2O를 포함한다.
앞서 설명된 실시예 및 이후의 실시예에서, 제 1 전구체 기체 흐름은 상기 챔버 내에 플라즈마가 있을 수도 있고 없을 수도 있는데, 가령 상기 제 1 전구체 기체 흐름은 상기 챔버 내에 표면 마이크로파 플라즈마가 생성될 수도 있고 그렇지 않을 수도 있다. 추가로, 원격 플라즈마 생성은 상기 제 1 전구체 기체 흐름에서 이용될 수 있으며, 또한 상기 제 2 전구체 기체 흐름시 상기 챔버 내의 표면 마이 크로파 플라즈마 조건과 결합하여 상기 제 2 전구체 기체 흐름에서 이용될 수 있다.
한 구현예에서, 원자층 증착 방법은 앞서 일반적으로 설명된 제 1 및 제 2 전구체 기체 흐름을 포함한다. 제 2 전구체 기체 흐름 이후, 상기 제 1 및 제 2 전구체 기체와 조성물이 다른 제 3 전구체 기체가 상기 챔버 내 제 2 단층으로 흐름으로써, 상기 제 2 단층과 반응하고 그리고 상기 제 1 및 제 2 단층과 조성물이 다른 제 3 단층을 상기 기판 위에 형성하게 된다. 제 1, 제 2, 및 제 3 전구체 흐름은 제 3 단층 조성물을 포함하는 물질의 덩어리를 기판 위에 형성하도록 연속적으로 반복될 수 있다. 이 구현예에 따른 실시예에서, 산화알루미늄을 포함하는 제 3 단층의 형태에서 일반적인 프로세싱이 도 3을 참조로 추가로 설명된다.
특히, 도 3의 좌측 도면은 AlCHx를 포함하는 단층(40)을 기판 표면(30) 위로 형성하도록 트리메틸알루미늄을 포함하는 제 1 전구체 기체의 흐름 결과를 도시한다. 제 2 전구체 기체(가령, H2)는 상기 챔버 내의 표면 마이크로파 플라즈마 조건 하에서 상기 챔버 내의 제 1 단층으로 흐름으로써, 제 1 단층(40)과 결합하고 그리고 상기 기판 위에 다른 조성물의 제 2 단층(42)을 형성한다. 도시된 제 2 단층(42)은 제 1 단층(40)(Al)으로부터의 성분 및 제 2 전구체(H)로부터의 성분을 포함한다. 제 3 전구체 기체(즉, O3 및/또는 H20)는 상기 챔버 내의 제 2 단층(42)으로 흐름으로써 제 2 단층과 반응하고 그리고 상기 제 1 단층(40) 및 제 2 단층(42)과 조성물이 다른 제 3 단층(44)(즉, AlOx)을 기판(30) 위에 형성한다. 물론, 이러 한 프로세싱은 원자층 증착에 의한 층을 포함하는 원하는 두께의 산화알루미늄을 증착하도록 반복될 수 있다. 물론, 추가로 상기 제 1 및 제 3 전구체 기체 흐름 중 하나, 또는 둘다(또는 둘다 아님)는 원격의 그리고/또는 챔버 생성된 플라즈마를 포함할 수 있는데, 가령 표면 마이크로파 플라즈마 조건을 포함할 수 있다.
실시예에서, 원하는 최종 산물이 TiN인 경우, 제 1 전구체 기체의 일반적인 대안은 TiCl4로서 TiClx를 포함하는 단일층을 형성한다. 일반적인 제 2 전구체 기체는 여전히 H2를 포함할 수 있고, 제 3 전구체 기체는 NH3를 포함한다. 실시예에서, 또 다른 증착 물질은 제 3 단층으로서 TaN이다. 일반적인 제 1 전구체 기체는 TaClx를 포함하는 제 1 단층을 형성하기 위한 TaCl5이다. 일반적인 제 2 전구체 기체는 H2이고 일반적인 제 3 전구체 기체는 NH3이다.
한 구현예에서, 프로세싱은 앞서 설명된 바와 같이 상기 제 1 및 제 2 전구체 기체 흐름에 대하여 이루어진다. 제 2 전구체 기체 흐름 이후, 상기 제 1 전구체 기체는 상기 챔버 내의 기판으로 흐름으로써, 상기 제 2 단층과 반응하고 그리고 a)상기 제 1 및 제 2 단층과 조성물이 다른 제 3 조성물 단층을 기판 위에 형성하도록 상기 제 2 단층의 성분을 제거하며 그리고 b)상기 제 3 조성물 단층 위에 상기 제 1 단층 조성물의 제 4 단층을 형성한다. 실시예에서, 일반적인 프로세싱은 원소 탄탈륨 층의 제작과 연결하여 도 4에 보다 상세히 설명된다.
도 4의 좌측 도면은 TaClx를 포함하는 제 1 단층(60)을 기판 표면(30) 위로 형성하도록 TaCl5를 포함하는 제 1 전구체 기체 흐름 이후의 프로세싱을 도시한다. 이후 상기 제 1 단층과 조성물이 다른 제 2 단층(62)을 기판 위에 형성하여 상기 제 1 단층과 반응하도록, 상기 챔버 내의 표면 마이크로파 플라즈마 조건하에서 상기 챔버 내의 제 1 단층에 대하여 상기 제 1 전구체 기체와 조성물이 다른 제 2 전구체 기체(즉, H2)가 이어진다. 제 2 단층(62)은 상기 제 1 단층(즉, Ta)의 성분 및 제 2 전구체(즉, H)의 성분을 포함한다. 이후, 제 1 전구체 기체(즉, TaCl5)는 제 2 단층(62)과 반응하도록 챔버 내 기판으로 흐름으로써, a)상기 제 1 단층(60) 및 제 2 단층(62)과 조성물이 다른 제 3 조성물 단층(64)(즉, Ta)을 형성하도록 제 2 단층(즉, H)의 성분을 제거하고, 그리고 b)상기 제 1 단층 조성물의 제 4 단층(66)(즉, TaClx)을 제 3 조성물 단층(64) 위에 형성하게 된다. 이는 제 3 조성물 단층(64)을 포함하는 물질의 덩어리를 기판 위에 형성하도록 연속적으로 반복될 수 있다. 또한, 상기 제 1 및 제 3 전구체 흐름은 상기 챔버 내에 플라즈마(가령, 표면 마이크로파 플라즈마)가 있든 없든 간에 이루어질 수 있다.
도 4의 프로세싱은 원소 형태의 금속을 포함하도록 제 3 조성물 단층을 형성한다. 실시예에서, 티타늄 층의 제조를 위한 일반적인 대안적 프로세싱은 TiCl4를 포함하는 제 1 전구체 기체를 이용함으로써 TiClx를 포함하는 제 1 단층을 형성한다. 이 경우, 선호되는 제 2 전구체는 또한 H2를 포함한다.
본 발명은 상기 챔버 내에 표면 마이크로파 플라즈마가 존재하는 것을 제외하고는 그 밖에 동일한 프로세싱에서 상기 제 1 단층이 상기 제 2 전구체와 반응하지 않는 조성물로 구성된다는 특별한 장점을 갖는다. 도 2-4를 참조로 앞서 설명된 제 1 단층은 이러한 조성물을 대체할 수 있다.
표면 마이크로파 플라즈마 조건 하에서 상기 챔버 내에 제 1 단층을 형성하도록 제 1 흐름과 다른 제 2 전구체 기체 흐름에 대하여, 앞서 설명된 다양한 프로세싱은 더 나은 균일성을 제공하며, 그리고 원하는 균일성을 제공할 것이라고 기대되지 않는 더 높은 이온 에너지 플라즈마와 비교할 때, 플라즈마 강화 원자층 증착을 쉽게 하도록 낮은 이온 에너지를 이용할 수 있다.
앞서 설명된 프로세싱들은 현재의 또는 개발될 프로세싱의 매개변수들 하에서 비활성 퍼지 기체 흐름이 개입되거나 개입되지 않는 방식으로 이루어질 수 있다. 또한 실시예에서, 상기 안테나 내의 개구부들은 기체 전달성 및 마이크로파 전달성으로 만들어질 수 있고 그리고 상기 안테나에는 챔버내에 제공된다. 이 경우, 기체는 다수의 개구부를 통해 흐르게 되고, 상기 다수의 개구부를 통해 마이크로파 에너지가 프로세싱 챔버로 전달됨으로써, 상기 프로세싱 챔버 내에 수용된 기판 위로 표면 마이크로파 플라즈마를 형성하게 된다. 기체 입구들은 상기 안테나로 먼저 흐르도록 구성되고, 이후 동일한 개구부 또는 다른 개구부를 통해 전달되는 마이크로파 에너지와 함께 상기 개구부를 통하여 챔버로 흐르게 되도록 구성될 수 있다. 이러한 프로세싱은 원할 경우, 상기 다수의 개구부를 통하기 보다는 마이크로파 에너지의 전달시 상기 챔버로 기체의 흐름이 없을 수 있다.
또한 실시예에서, 상기 일반적인 방법들을 실행하기 위한 선호되는 프로세싱 은 아래와 같이 제 1 전구체 기체로서 TiCl4와 그리고 제 2 전구체 기체로서 H2와 결합하여 설명되고, 헬륨을 포함하는 비활성 퍼지 기체를 이용한다. 앞서 설명된 예시적인 방법들을 실행하기 위하여 아래에 설명되는 선호되는 실시예의 최선의 형태는 앞서 설명된 발명에 대하여 독립적인 발명을 구성하는 것으로 또한 고려되며, 보다 상세히 및 독립적으로 주장된다.
도 5-9에서, 제 1 전구체 기체(즉, TiCl4), 비활성 기체(즉, He), 및 제 2 전구체 기체(즉, H2)의 형태로 개별적으로 생성된 각각의 기체 펄스를 보여주는 수평 타임라인을 도시하고 있다. 상기 H2 타임라인은 상기 챔버 내부에 흐르는 일반적인 H2 기체 흐름의 플라즈마를 형성하기 위해 파워 레벨을 도시하도록 의도된 상승된(elevated-most) 표면으로의 에너지 인가를 도시한 점선과 관련된다. 이는 상기 최초의 실시예와 관련하여 설명된 바와 같이, 적절한 챔버 내에 표면 마이크로파 플라즈마 생성을 구성하는 것이 선호된다.
도 5에서, 반도체 기판은 원자층 증착 챔버 내에 배치될 것이다. 제 1 전구체 기체는 상기 챔버 내의 기판으로 흐르게 됨으로써, 가령 TiCl4 기체 펄스 P1과 같이, 기판 위에 제 1 단층을 형성한다. 플라즈마 생성은 사용될 수도 있고, 사용되지 않을 수도 있다. 제 1 단층의 흐름 이후, 비활성 퍼지 기체는 가령, 헬륨 기체 펄스 P2와 같이 상기 챔버로 흐른다. 비활성 퍼지 기체의 흐름 이후, 제 2 전구체 기체는 상기 챔버 내의 플라즈마 조건 하에서 상기 기판으로 흐르고, 따라서 H2 기체 펄스 P3과 같이 제 1 단층과 조성물이 다른 제 2 단층을 기판 위에 형성하게 된다. 제 2 전구체 기체는 상기 제 1 전구체 기체와 조성물이 다르다.
상기 챔버 내의 플라즈마 조건에는 제 2 전구체 기체 P3과 함께 상기 챔버 내의 플라즈마 조건을 유지할 수 있는 파워 레벨(40)에서 챔버로 에너지를 인가하는 것이 포함된다. 상기 에너지를 챔버로 인가하는 것은 가령, 시간 포인트(45)로 도시된 바와 같이, 상기 제 2 전구체 기체가 챔버로 흐르기 전에 시간 포인트(44)에서 플라즈마 가능(capable) 파워 레벨(40)까지 파워 레벨을 증가시키는 것으로 시작된다. 도 5의 실시예에서, 라인(42)을 따른 파워 레벨 증가는 연속적이며, 또한 상당히 일정한 비율인 것이 선호된다. 제 1 단층은 상기 챔버 내에 플라즈마가 없는 경우에 형성될 수 있다. 도 5-9와 연결된 하나의 선호되는 실시예에서, 상기 형성된 제 2 단층은 제 1 단층과의 반응으로부터 기인하고, 이때 제 2 단층은 제 1 단층 및 제 2 전구체의 성분을 포함한다. 도 5에서, 비활성 퍼지 기체 흐름 P2 및 제 2 전구체 기체 흐름 P3은 중첩되지 않는다. 실시예에서, 모든 펄스에 대한 일반적인 시간 주기는 1초이다. 물론 보다 큰, 보다 작은 및/또는 동일하지 않은 시간이 이용될 수 있다.
*제 2 단층을 형성한 이후, 또 다른 비활성 퍼지 기체 흐름 P4(상기 제 1 전구체 기체와 조성물이 동일하거나 다름)는 플라즈마 가능 파워가 감소되기 전에 시작된다. 예를 들어, 도 5는 상기 플라즈마 가능 파워의 감소가 시작되는 시간 포인트(48) 이전의 시간 포인트(46)에서 P4 펄스의 개시를 보여준다.
도 5의 실시예에서, 제 2 전구체 펄스 P3 및 다른 비활성 퍼지 기체 펄 스(P4)는 중첩되지 않는다. 또한, 상기 챔버로의 제 2 전구체 기체 흐름은 상기 플라즈마 가능 파워의 감소 이전에 중단된다. 실시예에서, 이는 파워 레벨(40)로부터 파워가 감소되기 시작하는 레이터-인-타임(later-in-time) 포인트(48)와 비교하여, 제 2 전구체 기체 흐름이 중단되는 시간 포인트(50)에 도시되어 있다. 물론, 상기 일반적인 프로세싱은 기체 펄스 P5, P6, 및 P7에 의해 도시된 바와 같이 반복될 수 있다.
또 다른 일반적인 실시예는 도 6을 참조로 설명된다. 도 5에서, 반도체 기판은 원자층 증착 챔버 내에 배치되고, 제 1 전구체 기체는 상기 챔버 내의 기판으로 흐름으로써 상기 기판 위에 제 1 단층을 형성한다. 이는 일반적인 P1 TiCl4 펄싱에 의해 도시된다. 제 1 단층을 형성한 후, 제 2 전구체 기체가 상기 챔버 내의 플라즈마 조건 하에서 기판으로 흐름으로써, 상기 제 1 단층과 조성물이 다른 제 2 단층을 기판 위에 형성하게 된다. 제 2 전구체 기체는 상기 제 1 전구체 기체와 조성물이 다르고, 그리고 일반적인 H2 제 2 전구체 기체 흐름에 대한 예로서 P3에 의해 도시된다.
도 6에서, 상기 챔버 내의 제 2 전구체 기체는 상기 챔버 내에 플라즈마를 생성할 수 있는 에너지(40)의 인가 파워 레벨로부터 상기 챔버로 생성된다. 정상 상태의, 상기 에너지의 제 1 인가된 파워 레벨은 상기 에너지(40)의 제 2 인가된 파워 레벨을 인가하기 전에 일부 포인트에서 상기 챔버로 인가된다. 일반적인 정상 상태의 제 1 인가된 파워 레벨(62)은 제 2 인가된 파워 레벨(40)보다 작게 도 6에 도시되어 있고, 이때 제 1 인가된 파워(62)로부터 제 2 인가된 파워 레벨(40)로의 증가는 라인(64)을 따라 이루어진다.
하나의 선호되는 실시예에서, 정상-상태의 제 1 파워(62)는 제 2 전구체 기체의 흐름으로부터 플라즈마를 생성하기에 불충분하다. 하나의 선호되는 실시예에서, 정상 상태의 제 1 파워(62)는 제 1 전구체 기체의 흐름으로부터 플라즈마를 생성하기에 불충분하다. 일반적으로 선호되는 도 6의 실시예에서, 정상 상태의 제 1 파워(62)는 제 1 전구체 흐름 P1 동안 인가되고, 또한 상기 챔버 내에 비-플라즈마(non-plasma) 조건 하에서 기판 위에 제 1 단층을 형성하는 조건 하에서 인가된다. 한 실시예에서, 제 1 파워 레벨(62)은 에너지의 베이스 파워 레벨(base power level)로서 고려될 수 있다.
도 6은 또한 제 1 전구체 기체 흐름(P1)과 제 2 전구체 기체 흐름(P2) 사이의 챔버로의 퍼지 기체 흐름(P2)을 도시하며, 이때 정상 상태의 제 1 파워(62)는 퍼지 기체 흐름 P2 동안 인가된다. 또한, 베이스 파워 레벨(62)은 비활성 퍼지 기체 흐름(P2)의 한 부분에서 파워 레벨(40)로 상승된다.
도 6의 선호되는 실시예에서, 퍼지 기체 흐름 P4는 제 2 전구체 기체 흐름 P3 이후에 이루어지고, 이때 파워 레벨(62)로의 복귀는 상기 퍼지 기체 흐름 P4 동안 및 제 2 전구체 기체 P3의 흐름이 중단된 이후에 이루어진다. 일반적인 프로세싱은 기체 펄스(P5, P6, 및 P7)와 연결하여 반복되도록 도시되고, 그리고 하나이상의 추가적 단층을 제 2 단층 위로 증착하는 하나의 예를 제공한다. 도 6은 제 2 전구체 기체 흐름 P3이 시작되는 시간(68)의 포인트보다 앞서는 시간(66)의 포인트에 서 파워 레벨의 증가가 시작됨을 보여준다. 또한 도 6의 선호되는 실시예에서, 파워 레벨(40)로부터의 감소는, 제 2 전구체 기체 펄스 P3 흐름이 중단되는 시간 포인트(72) 이후의 시간 포인트(70)에서 시작된다.
실시예에서, 도 5 및 6은 각각의 기체 펄스가 중첩되지 않는 일반적인 실시예을 보여준다. 본 발명은 또한 상기 기체 펄스의 적어도 일부가 중첩되는 것을 고려한다. 실시예에서, 제 2 전구체 기체 펄싱(pulsing)을 참조로, 일반적인 중첩이 도 7-10을 참조로 설명된다.
도 7에서는 도 6과 동일하지만, 예외적으로 비활성 P2 펄스는 P3 펄스 위로 계속되도록 확장되고, 제 2 전구체 기체 흐름 P3이 중단된 후에 비활성 P2 펄스의 흐름은 중단된다.
도 8에서는 기체 펄스 P1을 갖는 TiCl4에 대하여 도시된 바와 같이, 기판 위에 제 1 단층을 형성하기 위해, 제 1 전구체 기체가 원자층 증착 챔버 내의 기판으로 흐른다. 제 1 단층을 형성한 이후, 비활성 퍼지 기체는 헬륨 기체 펄스 P2로 지정된 바와 같이 상기 챔버로 흐른다. 비활성 퍼지 기체의 흐름 이후, 제 2 전구체 기체는 상기 챔버 내의 플라즈마 조건 하에서 기판으로 흐르게 됨으로써, 상기 제 1 단층과 조성물이 다른 제 2 단층을 기판 위에 형성하게 된다. 일부 방식에서, 상기 제 2 전구체 기체는 도 8의 H2 펄스 P3과 같이, 제 1 전구체 기체와 조성물이 다르다.
도 8에서, 챔버 내의 플라즈마 조건 하에서 상기 제 2 전구체 기체 흐름은 Q비활성 퍼지 기체 흐름 P2가 중단되는 시간 포인트(77) 이전의 일반적인 시간 포인트(76)에서 개시된다. 또한, 비활성 퍼지 기체 흐름 P2는, 상기 개시 이후 챔버 내의 플라즈마 조건 하에서 제 2 전구체 기체 흐름이 발생하는 동안, 일반적인 시간 포인트(77)에서 중단된다. 또한, 도 8은 상기 챔버 내의 플라즈마 조건에는 상기 제 2 전구체 기체와 함께 상기 챔버 내의 플라즈마 조건을 유지할 수 있는 파워 레벨(40)에서 상기 챔버로 에너지를 인가하는 것이 포함된다. 도 8은 또한 베이스 파워 레벨(62)의 최소 인가를 도시하고 있으며, 그리고 상기 베이스 파워 레벨(62)로부터 세그먼트(78)를 따라 파워 레벨(40)까지의 상승이 또한 도시되어 있다. 그러나, 도 8의 일반적인 실시예에 대하여, 본 발명의 한 태양에서는 일부 베이스 레벨(62)과 반대로 제로(zero) 파워의 인가를 고려한다. 그럼에도 불구하고, 도 8은 시간 포인트(80)에서 시작되는 제 2 전구체 기체 펄스 P3 및 상기 시간 포인트(80) 이후의 시간 포인트(82)에서 시작되는 라인(78)을 따라 파워 레벨의 증가를 도시한다.
제 2 단층의 형성 이후, 또 다른 비활성 퍼지 기체 흐름 P4는 제 2 전구체 기체 흐름이 중단되는 시간(86)의 포인트 이전인 시간(84)의 포인트에서 시작되는 것으로 도시되어 있다. 물론, 이러한 프로세싱은 기체 펄스 P5, P6, 및 P7에 의하여 도시된 바와 같이 반복될 수 있다.
실시예에서, 도 9는 대안적인 실시예를 도시하고 있으며, 여기서 플라즈마 가능 파워 레벨(40)까지 상승하는 파워 레벨에서 상기 챔버로 에너지 인가의 시작은 제 2 전구체 기체의 상기 챔버로의 흐름의 시작을 구성하는 시간(80)의 포인트 에서 함께 일어난다. 또한, 실시예에서, 도 8은 제로에서 시작해서 제로로 되돌아가는 파워 레벨을 도시하며, 제 2 전구체 흐름이 중단되는 시간 포인트(86)에서 제로 파워로의 도달이 이루어진다.
실시예에서, 도 10은 파워 레벨(40)까지의 상승하는 파워 레벨에서 상기 챔버로의 에너지 인가는 상기 챔버로 제 2 전구체 기체의 흐름이 시작되는 시간 포인트(80)보다 앞선 시간 포인트(90)에서 개시된다.
실시예에서, 마이크로파로부터 생성된 플라즈마는 매우 얕은 깊이에 의해 특징지어지고, 이때 상기 파워는 매우 작은 부피에서 매우 효과적으로 소비된다. 표면 마이크로파 플라즈마는 일반적으로 반응 챔버로 들어가기 이전의 마이크로파 에너지의 분배 또는 스프레딩에 의하여 마이크로파로부터 일정한 플라즈마의 생성에 기인한다. 상기 마이크로파 파워는 일반적으로 도파관 전달 모드로부터 상부의 반응기 평면 안테나/윈도우와 평행한 파형으로 전환된다. 이러한 표면파로의 전환은 상기 마이크로파를 반사하도록 작용하는 전환 안테나에 의해 생성된다. 마이크로파가 상측 평면 안테나와 평행하게 진행하면, 상기 평면 안테나 내부의 작은 개구부는 일부 마이크로파가 반응 챔버로 방출되도록 함으로써, 원하는 영역으로 파워가 스프레드된다. 상기 평면 안테나 내 개구부의 주기성은 스프레드된 파워의 균일성 및 장소(locality)를 결정한다.

Claims (28)

  1. 원자층 증착 방법에 있어서, 상기 방법은
    - 반도체 기판을 증착 챔버 내에 배치하는 단계와,
    - 상기 기판 위에 제 1 단층을 형성하기 위해 상기 챔버 내부의 기판으로 제 1 전구체 기체를 흐르게 하는 단계와, 그리고
    - 상기 제 1 단층을 형성한 이후, 상기 제 1 단층과 조성물이 다른 제 2 단층을 상기 기판 위에 형성하기 위하여 상기 챔버 내부의 플라즈마 조건 하에서 제 2 전구체 기체를 기판으로 흐르게 하는 단계로서, 이때 상기 제 2 전구체 기체는 상기 제 1 전구체 기체와 조성물이 다르며, 상기 챔버 내부의 제 2 전구체 기체의 생성은 상기 챔버로 인가되는 에너지의 제 2 인가된 파워로부터 발생하고, 그리고 상기 에너지의 상기 제 2 인가된 파워를 인가하기 전에 상기 에너지의 정상 상태의 제 1 인가된 파워를 인가하는 단계와, 상기 정상 상태의 제 1 인가된 파워를 제 2 인가된 파워보다 작게 하는 단계와, 그리고 상기 제 1 인가된 파워를 상기 제 2 인가된 파워까지 증가시키는 단계를 더 포함하는 상기 제 2 전구체 기체를 흐르게 하는 단계를 포함하되,
    상기 제 2 전구체 기체를 흐르게 하는 단계를 시작하기 전에 상기 제 1 인가된 파워를 증가시키는 단계를 시작하는 것을 특징으로 하는 원자층 증착 방법.
  2. 제 1 항에 있어서, 상기 제 1 인가된 파워를 증가시키는 단계는 연속적인 것을 특징으로 하는 원자층 증착 방법.
  3. 제 1 항에 있어서, 이때 상기 정상 상태의 제 1 파워는 상기 제 2 전구체 기 체의 흐름으로부터 플라즈마를 생성하기에 불충분한 것을 특징으로 하는 원자층 증착 방법.
  4. 제 1 항에 있어서, 이때 상기 정상 상태의 제 1 파워는 상기 제 1 전구체 기체의 흐름으로부터 플라즈마를 생성하기에 불충분한 것을 특징으로 하는 원자층 증착 방법.
  5. 제 1 항에 있어서, 상기 방법은 제 1 전구체 흐름 동안 정상 상태의 제 1 파워를 인가하는 단계를 포함하는 것을 특징으로 하는 원자층 증착 방법.
  6. 제 5 항에 있어서, 이때 상기 정상 상태의 제 1 파워는 상기 제 1 전구체 기체의 흐름으로부터 플라즈마를 생성하기에 불충분한 것을 특징으로 하는 원자층 증착 방법.
  7. 제 1 항에 있어서, 상기 방법은 상기 제 1 전구체 기체 흐름과 제 2 전구체 기체 흐름 중간에 상기 챔버로 비활성 퍼지 기체를 흐르게 하는 단계를 포함하는 것을 특징으로 하는 원자층 증착 방법.
  8. 제 7 항에 있어서, 상기 방법은 상기 비활성 퍼지 기체의 흐름 동안 상기 정상 상태의 제 1 파워를 인가하는 단계를 포함하는 것을 특징으로 하는 원자층 증착 방법.
  9. 제 7 항에 있어서, 상기 방법은 상기 제 2 전구체 기체 흐름 이후에 상기 챔버로 비활성 퍼지 기체를 흐르게 하고, 상기 제 1 전구체 기체 흐름과 제 2 전구체 기체 흐름 중간에 상기 비활성 퍼지 기체 흐름 동안 정상 상태의 제 1 파워를 인가하며, 그리고 상기 제 2 전구체 흐름 이후에 상기 비활성 퍼지 기체 흐름 동안 상기 정상 상태의 제 1 파워를 인가하는 단계를 포함하는 것을 특징으로 하는 원자층 증착 방법.
  10. 제 1 항에 있어서, 이때 상기 플라즈마 조건은 표면 마이크로파 플라즈마를 포함하는 것을 특징으로 하는 원자층 증착 방법.
  11. 삭제
  12. 삭제
  13. 삭제
  14. 제 1 항에 있어서, 제 2 전구체 기체의 흐름을 중단한 이후에 상기 제 2 인가된 파워로 증가시킨 파워를 상기 정상 상태의 제 1 인가된 파워로 감소시키는 단계를 포함하는 것을 특징으로 하는 원자층 증착 방법.
  15. 제 1 항에 있어서, 제 2 전구체 기체의 흐름을 중단하기 전에 상기 제 2 인가된 파워로 증가시킨 파워를 상기 정상 상태의 제 1 인가된 파워로 감소시키는 단계를 포함하는 것을 특징으로 하는 원자층 증착 방법.
  16. 제 1 항에 있어서, 이때 상기 제 1 전구체 기체는 TiCl4를 포함하고, 상기 제 1 단층은 TiClx를 포함하며, 그리고 상기 제 2 전구체 기체는 H2를 포함하는 것을 특징으로 하는 원자층 증착 방법.
  17. 원자층 증착 방법에 있어서, 상기 방법은
    - 반도체 기판을 증착 챔버 내에 배치하는 단계와,
    - 상기 반도체 기판을 갖는 상기 챔버로 베이스 파워 레벨의 에너지를 인가하는 단계와,
    - 베이스 파워 레벨의 에너지를 인가하는 동안, 상기 챔버 내부의 넌-플라즈마(non-plasma) 조건 하에서 상기 기판 위에 제 1 단층을 형성하도록 상기 챔버 내부의 기판에 제 1 전구체 기체를 흐르게 하는 단계와,
    - 제 1 단층의 형성 이후, 상기 베이스 파워 레벨의 에너지를 상기 챔버 내부에 플라즈마를 생성할 수 있는 플라즈마 가능 파워 레벨까지 상승시키는 단계와,
    - 상기 제 1 단층과 조성물이 다른 제 2 단층을 기판 위에 형성하도록 상기 제 1 단층에 대하여 상기 제 2 전구체 기체를 갖는 플라즈마를 형성하기 위하여, 상기 플라즈마 가능 파워 레벨의 에너지가 상기 챔버에 인가되는 동안 상기 챔버 내부의 기판으로 제 2 전구체 기체를 흐르게 하는 단계로서, 상기 제 2 전구체 기체의 흐름이 개시되기 전에 상기 베이스 파워 레벨의 에너지를 상승시키는 단계를 개시하는 상기 제 2 전구체 기체를 흐르게 하는 단계와, 그리고
    - 상기 제 2 단층이 형성된 이후, 상기 플라즈마 가능 파워 레벨의 에너지를 상기 베이스 파워 레벨로 감소시키며 이후 상기 제 2 단층 위로 또 다른 단층을 증착시키는 단계
    를 포함하는 것을 특징으로 하는 원자층 증착 방법.
  18. 제 17 항에 있어서, 이때 상기 베이스 파워 레벨의 에너지를 상승시키는 단계는 연속적인 것을 특징으로 하는 원자층 증착 방법.
  19. 제 17 항에 있어서, 상기 방법은 상기 제 1 전구체 기체 흐름과 제 2 전구체 기체 흐름 중간에 상기 챔버로 비활성 퍼지 기체를 흐르게 하는 단계를 포함하는 것을 특징으로 하는 원자층 증착 방법.
  20. 제 19 항에 있어서, 상기 방법은 상기 비활성 퍼지 기체 흐름 동안 베이스 파워 레벨의 에너지를 인가하는 단계를 포함하는 것을 특징으로 하는 원자층 증착 방법.
  21. 제 19 항에 있어서, 상기 방법은
    - 상기 제 2 전구체 기체 흐름 이후 상기 챔버로 비활성 퍼지 기체를 흐르게 하는 단계와,
    - 상기 제 1 전구체 기체 흐름과 제 2 전구체 기체 흐름 중간에 상기 비활성 퍼지 기체 흐름 동안 베이스 파워 레벨의 에너지를 인가하는 단계와, 그리고
    - 상기 제 2 전구체 흐름 이후 상기 비활성 퍼지 기체 흐름 동안 상기 베이스 파워 레벨의 에너지를 인가하는 단계
    를 포함하는 것을 특징으로 하는 원자층 증착 방법.
  22. 제 17 항에 있어서, 이때 상기 플라즈마는 표면 마이크로파 플라즈마를 포함하는 것을 특징으로 하는 원자층 증착 방법.
  23. 삭제
  24. 삭제
  25. 삭제
  26. 제 17 항에 있어서, 상기 방법은 상기 제 2 전구체 기체 흐름을 중단한 이후에 상기 플라즈마 가능 파워 레벨의 에너지를 감소시키는 단계를 개시하는 것을 포함하는 것을 특징으로 하는 원자층 증착 방법.
  27. 제 17 항에 있어서, 상기 방법은 상기 제 2 전구체 기체 흐름을 중단하기 전에 상기 플라즈마 가능 파워 레벨의 에너지를 감소시키는 단계를 개시하는 단계를 포함하는 것을 특징으로 하는 원자층 증착 방법.
  28. 제 17 항에 있어서, 이때 상기 제 1 전구체 기체는 TiCl4를 포함하고, 상기 제 1 단층은 TiClx를 포함하며, 그리고 상기 제 2 전구체 기체는 H2를 포함하는 것을 특징으로 하는 원자층 증착 방법.
KR1020067019855A 2002-11-12 2003-11-12 원자층 증착 방법 KR100733181B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/293,072 US7022605B2 (en) 2002-11-12 2002-11-12 Atomic layer deposition methods
US10/293,072 2002-11-12

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020057008328A Division KR100704086B1 (ko) 2002-11-12 2003-11-12 원자층 증착 방법

Publications (2)

Publication Number Publication Date
KR20060105006A KR20060105006A (ko) 2006-10-09
KR100733181B1 true KR100733181B1 (ko) 2007-06-28

Family

ID=32229589

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020067019855A KR100733181B1 (ko) 2002-11-12 2003-11-12 원자층 증착 방법
KR1020067019854A KR100719644B1 (ko) 2002-11-12 2003-11-12 원자층 증착 방법
KR1020057008328A KR100704086B1 (ko) 2002-11-12 2003-11-12 원자층 증착 방법

Family Applications After (2)

Application Number Title Priority Date Filing Date
KR1020067019854A KR100719644B1 (ko) 2002-11-12 2003-11-12 원자층 증착 방법
KR1020057008328A KR100704086B1 (ko) 2002-11-12 2003-11-12 원자층 증착 방법

Country Status (10)

Country Link
US (4) US7022605B2 (ko)
EP (1) EP1561239B1 (ko)
JP (1) JP2006505696A (ko)
KR (3) KR100733181B1 (ko)
CN (1) CN100483636C (ko)
AT (1) ATE507579T1 (ko)
AU (1) AU2003290815A1 (ko)
DE (1) DE60336920D1 (ko)
TW (1) TWI257438B (ko)
WO (1) WO2004044963A2 (ko)

Families Citing this family (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7097782B2 (en) * 2002-11-12 2006-08-29 Micron Technology, Inc. Method of exposing a substrate to a surface microwave plasma, etching method, deposition method, surface microwave plasma generating apparatus, semiconductor substrate etching apparatus, semiconductor substrate deposition apparatus, and microwave plasma generating antenna assembly
US7022605B2 (en) * 2002-11-12 2006-04-04 Micron Technology, Inc. Atomic layer deposition methods
ATE546824T1 (de) * 2004-06-08 2012-03-15 Dichroic Cell S R L System zur plasmaunterstützten chemischen aufdampfung bei niedrigen energien
KR100589062B1 (ko) * 2004-06-10 2006-06-12 삼성전자주식회사 원자층 적층 방식의 박막 형성방법 및 이를 이용한 반도체소자의 커패시터 형성방법
US20050276922A1 (en) * 2004-06-10 2005-12-15 Henry Bernhardt Method of forming thin dielectric layers
JP4515191B2 (ja) * 2004-08-03 2010-07-28 東京エレクトロン株式会社 成膜方法
KR100653705B1 (ko) * 2004-10-13 2006-12-04 삼성전자주식회사 원자층증착법을 이용한 박막 형성방법
KR100714269B1 (ko) * 2004-10-14 2007-05-02 삼성전자주식회사 반도체 소자 제조에 사용되는 금속층 형성방법
US7435454B2 (en) * 2005-03-21 2008-10-14 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US20060210723A1 (en) * 2005-03-21 2006-09-21 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7338901B2 (en) * 2005-08-19 2008-03-04 Tokyo Electron Limited Method of preparing a film layer-by-layer using plasma enhanced atomic layer deposition
US7959985B2 (en) * 2006-03-20 2011-06-14 Tokyo Electron Limited Method of integrating PEALD Ta-containing films into Cu metallization
KR101060633B1 (ko) * 2006-07-20 2011-08-31 신에쓰 가가꾸 고교 가부시끼가이샤 반도체 디바이스의 제조 방법 및 기판 처리 장치
US7692222B2 (en) * 2006-11-07 2010-04-06 Raytheon Company Atomic layer deposition in the formation of gate structures for III-V semiconductor
US20090203197A1 (en) * 2008-02-08 2009-08-13 Hiroji Hanawa Novel method for conformal plasma immersed ion implantation assisted by atomic layer deposition
WO2009148913A2 (en) * 2008-06-02 2009-12-10 Mattson Technology, Inc. Process and system for varying the exposure to a chemical ambient in a process chamber
US20120263887A1 (en) * 2011-04-13 2012-10-18 Varian Semiconductor Equipment Associates, Inc. Technique and apparatus for ion-assisted atomic layer deposition
TW201306082A (zh) * 2011-04-18 2013-02-01 Tokyo Electron Ltd 電漿評估方法、電漿處理方法及電漿處理裝置
CN102344134B (zh) * 2011-09-23 2013-03-06 中国科学院微电子研究所 一种石墨的制备方法
US9194045B2 (en) 2012-04-03 2015-11-24 Novellus Systems, Inc. Continuous plasma and RF bias to regulate damage in a substrate processing system
JP2015012179A (ja) * 2013-06-28 2015-01-19 住友電気工業株式会社 気相成長方法
US9145607B2 (en) * 2013-10-22 2015-09-29 Lam Research Corporation Tandem source activation for cyclical deposition of films
WO2015180077A1 (zh) * 2014-05-28 2015-12-03 王东君 一种辅助增强原子层沉积方法
KR101736840B1 (ko) * 2015-10-12 2017-05-17 세메스 주식회사 안테나 및 이를 포함하는 기판 처리 장치
FI129609B (en) 2020-01-10 2022-05-31 Picosun Oy SUBSTRATE PROCESSING EQUIPMENT

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2002043114A2 (en) 2000-11-22 2002-05-30 Simplus Systems Corp Plasma enhanced pulsed layer deposition
WO2002045871A1 (en) 2000-12-06 2002-06-13 Angstron Systems, Inc. System and method for modulated ion-induced atomic layer deposition (mii-ald)

Family Cites Families (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US119673A (en) * 1871-10-03 Improvement in combined latches and locks
US52323A (en) * 1866-01-30 File-clasp for documents
US4838983A (en) * 1986-07-03 1989-06-13 Emcore, Inc. Gas treatment apparatus and method
JP2587623B2 (ja) * 1986-11-22 1997-03-05 新技術事業団 化合物半導体のエピタキシヤル結晶成長方法
JPH05343334A (ja) 1992-06-09 1993-12-24 Hitachi Ltd プラズマ発生装置
JP3571129B2 (ja) * 1995-11-10 2004-09-29 株式会社半導体エネルギー研究所 プラズマcvd法および薄膜トランジスタの作製方法
KR970071945A (ko) * 1996-02-20 1997-11-07 가나이 쯔도무 플라즈마처리방법 및 장치
US5874706A (en) * 1996-09-26 1999-02-23 Tokyo Electron Limited Microwave plasma processing apparatus using a hybrid microwave having two different modes of oscillation or branched microwaves forming a concentric electric field
US5976623A (en) * 1996-12-03 1999-11-02 Lucent Technologies Inc. Process for making composite films
KR100385946B1 (ko) * 1999-12-08 2003-06-02 삼성전자주식회사 원자층 증착법을 이용한 금속층 형성방법 및 그 금속층을장벽금속층, 커패시터의 상부전극, 또는 하부전극으로구비한 반도체 소자
US6861356B2 (en) * 1997-11-05 2005-03-01 Tokyo Electron Limited Method of forming a barrier film and method of forming wiring structure and electrodes of semiconductor device having a barrier film
US20010052323A1 (en) * 1999-02-17 2001-12-20 Ellie Yieh Method and apparatus for forming material layers from atomic gasses
JP3430959B2 (ja) * 1999-03-04 2003-07-28 東京エレクトロン株式会社 平面アンテナ部材、これを用いたプラズマ処理装置及びプラズマ処理方法
KR100745495B1 (ko) * 1999-03-10 2007-08-03 동경 엘렉트론 주식회사 반도체 제조방법 및 반도체 제조장치
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6511539B1 (en) 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6486924B1 (en) * 2000-02-03 2002-11-26 Terk Technologies Corp. Television antenna with bypassable amplifier circuit
JP3477573B2 (ja) 2000-03-24 2003-12-10 東京エレクトロン株式会社 プラズマ処理装置、プラズマ生成導入部材及びスロット電極
US6369763B1 (en) 2000-04-05 2002-04-09 Asi Technology Corporation Reconfigurable plasma antenna
KR20020087134A (ko) * 2000-04-10 2002-11-21 화이자 프로덕츠 인크. 벤조아미드 피페리딘 함유 화합물 및 관련 화합물
US6409451B1 (en) 2000-04-13 2002-06-25 Ibp, Inc. Item handler and method for flow through storage
US6620723B1 (en) * 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US7101795B1 (en) 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US6847003B2 (en) * 2000-10-13 2005-01-25 Tokyo Electron Limited Plasma processing apparatus
US6355561B1 (en) 2000-11-21 2002-03-12 Micron Technology, Inc. ALD method to improve surface coverage
KR100385947B1 (ko) * 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
US6416822B1 (en) * 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US20020076507A1 (en) * 2000-12-15 2002-06-20 Chiang Tony P. Process sequence for atomic layer deposition
US6630201B2 (en) * 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
KR100390831B1 (ko) * 2000-12-18 2003-07-10 주식회사 하이닉스반도체 플라즈마 원자층 증착법에 의한 탄탈륨옥사이드 유전막형성 방법
US6998014B2 (en) * 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
EP1485513A2 (en) * 2002-03-08 2004-12-15 Sundew Technologies, LLC Ald method and apparatus
US6845734B2 (en) 2002-04-11 2005-01-25 Micron Technology, Inc. Deposition apparatuses configured for utilizing phased microwave radiation
US6838125B2 (en) * 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
US6967154B2 (en) * 2002-08-26 2005-11-22 Micron Technology, Inc. Enhanced atomic layer deposition
US7022605B2 (en) * 2002-11-12 2006-04-04 Micron Technology, Inc. Atomic layer deposition methods
US7097782B2 (en) * 2002-11-12 2006-08-29 Micron Technology, Inc. Method of exposing a substrate to a surface microwave plasma, etching method, deposition method, surface microwave plasma generating apparatus, semiconductor substrate etching apparatus, semiconductor substrate deposition apparatus, and microwave plasma generating antenna assembly

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2002043114A2 (en) 2000-11-22 2002-05-30 Simplus Systems Corp Plasma enhanced pulsed layer deposition
WO2002045871A1 (en) 2000-12-06 2002-06-13 Angstron Systems, Inc. System and method for modulated ion-induced atomic layer deposition (mii-ald)

Also Published As

Publication number Publication date
KR100704086B1 (ko) 2007-04-06
US7115529B2 (en) 2006-10-03
EP1561239B1 (en) 2011-04-27
KR20060105006A (ko) 2006-10-09
JP2006505696A (ja) 2006-02-16
ATE507579T1 (de) 2011-05-15
US7402518B2 (en) 2008-07-22
CN1739188A (zh) 2006-02-22
CN100483636C (zh) 2009-04-29
US20060029738A1 (en) 2006-02-09
US7022605B2 (en) 2006-04-04
KR100719644B1 (ko) 2007-05-18
US20060172534A1 (en) 2006-08-03
KR20050074581A (ko) 2005-07-18
DE60336920D1 (de) 2011-06-09
EP1561239A2 (en) 2005-08-10
US7576012B2 (en) 2009-08-18
AU2003290815A8 (en) 2004-06-03
US20040092132A1 (en) 2004-05-13
KR20060110378A (ko) 2006-10-24
TW200424350A (en) 2004-11-16
TWI257438B (en) 2006-07-01
US20050260854A1 (en) 2005-11-24
AU2003290815A1 (en) 2004-06-03
WO2004044963B1 (en) 2004-12-23
WO2004044963A2 (en) 2004-05-27
WO2004044963A3 (en) 2004-11-25

Similar Documents

Publication Publication Date Title
KR100733181B1 (ko) 원자층 증착 방법
US7740704B2 (en) High rate atomic layer deposition apparatus and method of using
JP2021123800A (ja) 炭素材料を含む構造を形成する方法、同方法を使用して形成された構造、および構造を形成するためのシステム
US8334218B2 (en) Method of forming non-conformal layers
US6689220B1 (en) Plasma enhanced pulsed layer deposition
TW202111148A (zh) 包括介電層之結構、其形成方法及執行形成方法的反應器系統
KR101379015B1 (ko) 플라즈마 원자층 증착법을 이용한 루테늄 막 증착 방법 및고밀도 루테늄 층
JP3687651B2 (ja) 薄膜形成方法
US20060213444A1 (en) Deposition apparatus and deposition method
US7303991B2 (en) Atomic layer deposition methods
JP2003031521A (ja) 半導体素子の障壁層の形成方法及び装置
KR100721504B1 (ko) 플라즈마 강화 원자층 증착 장치 및 이를 이용한 박막형성방법
TW201602388A (zh) 密封膜之形成方法及密封膜製造裝置
KR20220051192A (ko) 다이렉트 마이크로파 플라즈마를 이용한 peald 티타늄 나이트라이드
US20230095086A1 (en) Methods and systems for filling a gap
CN111032909B (zh) 将绝缘材料沉积到导电孔中的方法
KR20220143579A (ko) 유동성 탄소 층으로 갭을 충진하는 방법
JPH03134175A (ja) ディジタルcvd方法

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
G170 Re-publication after modification of scope of protection [patent]
FPAY Annual fee payment

Payment date: 20130531

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20140603

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20150515

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20160517

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20170522

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20180530

Year of fee payment: 12

FPAY Annual fee payment

Payment date: 20190618

Year of fee payment: 13